472 entries « 1 of 10 »

2024

1.

Kyo Kuroki, Satoru Jimbo, Thiem Van Chu, Masato Motomura, Kazushi Kawamura

Classical Thermodynamics-based Parallel Annealing Algorithm for High-speed and Robust Combinatorial Optimization Proceedings Article

In: The Genetic and Evolutionary Computation Conference (GECCO), 2024.

BibTeX | タグ: Conference Papers

2.

Tsukasa Yamakura, Kazushi Kawamura, Masato Motomura, Thiem Van Chu

ETreeNet: Ensemble Model Fusing Decision Trees and Neural Networks for Small Tabular Data Proceedings Article

In: International Joint Conference on Neural Networks (IJCNN), 2024.

BibTeX | タグ: Conference Papers

3.

大塚 光莉

[PMRU研究奨励賞] 強い宝くじ仮説に基づく超軽量物体検出ニューラルネットワーク Presentation

電子情報通信学会 パターン認識・メディア理解研究会(PMRU研究会) 令和五年(2023)年度PRMU研究奨励賞, 16.05.2024.

BibTeX | タグ: Awards

4.

Hikari Otsuka, Yasuyuki Okoshi, Ángel López García-Arias, Kazushi Kawamura, Thiem Van Chu, Daichi Fujiki, Masato Motomura

Restricted Random Pruning at Initialization for High Compression Range Journal Article

In: Transactions on Machine Learning Research (TMLR), 2024.

BibTeX | タグ: Journal Papers

5.

Junnosuke Suzuki, Mari Yasunaga, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Progressive Variable Precision DNN with Bitwise Ternary Accumulation Proceedings Article

In: International Conference on Artificial Intelligence Circuits and Systems (AICAS), 2024.

BibTeX | タグ: Conference Papers

6.

黒木 響, 神保 聡, Thiem Van Chu, 本村 真人, 川村 一志

平均場アニーリングに基づく高性能全並列型アニーリングアルゴリズム Technical Report

第11回量子ソフトウェア研究会, 2024.

BibTeX | タグ: Technical Reports

7.

永原 雄大

[優秀学生賞] 負荷均等配分を目指した高並列疎行列積アーキテクチャの研究 Masters Thesis

東京工業大学 工学院 情報通信系 優秀学生賞(修士), 2024.

BibTeX | タグ: Awards

8.

Satoru Jimbo, Tatsuhiko Shirai, Nozomu Togawa, Masato Motomura, Kazushi Kawamura

A GPU-Based Ising Machine With a Multi-Spin-Flip Capability for Constrained Combinatorial Optimization Journal Article

In: IEEE Access, 2024.

BibTeX | タグ: Journal Papers

9.

Hikari Otsuka, Yasuyuki Okoshi, Ángel López García-Arias, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Ramanujan Edge-Popup: Finding Strong Lottery Tickets with Ramanujan Graph Properties for Efficient DNN Inference Execution Proceedings Article

In: Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI), 2024.

BibTeX | タグ: Conference Papers

10.

永原 雄大, Jiale Yan, 川村 一志, 本村真人, Thiem Van Chu

[記念講演] 分散マージ乗算手法に基づく疎行列疎行列積アクセラレータ Presentation

電子情報通信学会 VLSI設計技術(VLD)研究会 Excellent Student Author Award for ASP-DAC 2024, 29.02.2024.

BibTeX | タグ: Awards, Invited Talks, Technical Reports

11.

Yuta Nagahara, Jiale Yan, Kazushi Kawamura, Masato Motomura, Thiem Van Chu

Sparse-Sparse Matrix Multiplication Accelerator on FPGA featuring Distribute-Merge Product Dataflow Proceedings Article

In: Asia and South Pacific Design Automation Conference (ASP-DAC), 2024.

BibTeX | タグ: Conference Papers

12.

Shungo Kumazawa, Jaehoon Yu, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Toward Improving Ensemble-Based Collaborative Inference at the Edge Journal Article

In: IEEE Access, 2024.

BibTeX | タグ: Journal Papers

13.

Masato Watanabe, Shungo Kumazawa, Thiem Van Chu, Kazushi Kawamura, Jaehoon Yu, Masato Motomura

Exploration of Hyperdimensional Computing Using Locality-Sensitive Hashing Mechanism on FPGA Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | タグ: Conference Papers

14.

Mari Yasunaga, Junnosuke Suzuki, Masato Watanabe, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

High Throughput Datapath Design for Vision Permutator FPGA Accelerator Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | タグ: Conference Papers

15.

Yuki Ichikawa, Akihiro Shioda, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

An Accurate FPGA-Based ORB Feature Extractor for SLAM with Row-Wise Keypoint Selection Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | タグ: Conference Papers

16.

Yuta Nagahara, Jiale Yan, Kazushi Kawamura, Masato Motomura, Thiem Van Chu

Efficient COO to CSR Conversion for Accelerating Sparse Matrix Processing on FPGA Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | タグ: Conference Papers

2023

17.

Junnosuke Suzuki, Jaehoon Yu, Mari Yasunaga, Ángel López García-Arias, Yasuyuki Okoshi, Shungo Kumazawa, Kota Ando, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Pianissimo: A Sub-mW Class DNN Accelerator With Progressively Adjustable Bit-Precision Journal Article

In: IEEE Access, 2023.

BibTeX | タグ: Journal Papers

18.

Mari Yasunaga, Junnosuke Suzuki, Masato Watanabe, Kazushi Kawamura, Thiem Van Chu, Jaehoon Yu, Masato Motomura

A Highly Accurate and Parallel Vision MLP FPGA Accelerator based on FP7/8 SIMD Operations Proceedings Article

In: International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 2023.

BibTeX | タグ: Conference Papers

19.

Masato Motomura

[Invited - Keynote] The Future of Low-bitwidth Reconfigurable and Parallel AI Computing Presentation

International Conference on Field-Programmable Technology (FPT), 12.12.2023.

BibTeX | タグ: Invited Talks

20.

Daiki Okonogi, Satoru Jimbo, Kota Ando, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

A Fully-Parallel Annealing Algorithm with Autonomous Pinning Effect Control for Various Combinatorial Optimization Problems Journal Article

In: IEICE Transactions on Information and Systems, 2023.

BibTeX | タグ: Journal Papers

21.

Bruno Hideki Fukushima-Kimura, Satoshi Handa, Katsuhiro Kamakura, Yoshinori Kamijima, Kazushi Kawamura, Akira Sakai

Mixing time and simulated annealing for the stochastic cellular automata Journal Article

In: Journal of Statistical Physics, vol. 190, no. 79, pp. 1-20, 2023.

Links | BibTeX | タグ: Journal Papers

22.

Bruno Hideki Fukushima-Kimura, Yoshinori Kamijima, Kazushi Kawamura, Akira Sakai

Stochastic optimization: Glauber dynamics versus stochastic cellular automata Journal Article

In: Transactions of the Institute of Systems, Control and Information Engineers, vol. 36, no. 1, pp. 9-16, 2023.

Links | BibTeX | タグ: Journal Papers

23.

大塚 光莉

[優秀構想発表賞] ニューラルネットワークの学習コスト削減に向けた学習前刈込・強い宝くじ仮説の研究 Presentation

東京工業大学 情報通信コース 構想発表会, 30.11.2023.

BibTeX | タグ: Awards

24.

Masato Motomura

[Invited] AI Computing - Tackling the Explosions of Data to Process and Decisions to Make Presentation

Samsung AI Forum, 07.11.2023.

BibTeX | タグ: Invited Talks

25.

本村 真人

[Invited] 超高次元分散ベクトル表現を基軸とする 融合型AIコンピューティング基盤の開拓 Presentation

科研費学術変革AFSA領域集会, 24.10.2023.

BibTeX | タグ: Invited Talks

26.

Alireza Khadem, Daichi Fujiki, Nishil Talati, Scott A. Mahlke, Reetuparna Das

[Best Paper Nomination] Vector-Processing for Mobile Devices: Benchmark and Analysis Proceedings Article

In: IEEE International Symposium on Workload Characterization, 2023.

BibTeX | タグ: Awards, Conference Papers

27.

川村 一志

[Invited] 学習/数理モデルに基づく時空間展開型アーキテクチャの創出と応用プロジェクトの社会実装 Presentation

第22回情報科学技術フォーラム(FIT 2023), 08.09.2023, (イベント企画 Society 5.0社会のためのコンピューティング技術をどう生かすか?).

BibTeX | タグ: Invited Talks

28.

川村 一志

[Invited] 問題に応じて計算手法を選択・最適化するアニーリングプロセッサLSI「Amorphica」 Presentation

第22回情報科学技術フォーラム(FIT 2023), 08.09.2023, (トップコンファレンス6-2 ハードウェア).

BibTeX | タグ: Invited Talks

29.

本村 真人

[Invited] 動的再構成プロセッサの研究開発と事業化 及びAI分野への展開 Presentation

半導体産業人協会, 07.08.2023.

BibTeX | タグ: Invited Talks

30.

鈴木 淳之介, 安永 真梨, Ángel López García-Arias, 大越 康之, 熊澤 峻悟, 安藤 洸太, 川村 一志, Thiem Van Chu, 本村 真人

[研究会優秀講演賞-若手部門] Pianissimo: エッジでの適応的な推論を実現するサブmWクラスDNNアクセラレータ Technical Report

電子情報通信学会 リコンフィギャラブルシステム研究会 (RECONF), 2023.

BibTeX | タグ: Awards, Technical Reports

31.

本村 真人

[Invited] エッジ知能の実現に向けた低ビット幅表現・並列処理AIエンジン群の研究 Presentation

ITE-ITS研究会, 01.08.2023.

BibTeX | タグ: Invited Talks

32.

本村 真人

[Invited] AIエッジの今後を展望する Presentation

NEDO AIエッジ事業報告会, 27.07.2023.

BibTeX | タグ: Invited Talks

33.

鈴木 淳之介, 安永 真梨, Ángel López García-Arias, 大越 康之, 熊澤 峻悟, 安藤 洸太, 川村 一志, Thiem Van Chu, 本村 真人

[Invited] Pianissimo: A Sub-mW Class DNN Accelerator with Progressive Bit-by-Bit Datapath Architecture for Adaptive Inference at Edge Presentation

Symposia on VLSI Technology/Circuits 国内報告会, 25.07.2023.

BibTeX | タグ: Invited Talks

34.

山倉 司, 川村 一志, 本村 真人, Thiem Van Chu

表形式データを対象とした決定木とニューラルネットワークの融合型機械学習手法の研究 Technical Report

情報論的学習理論と機械学習研究会(IBISML), 2023.

BibTeX | タグ: Technical Reports

35.

Junnosuke Suzuki, Jaehoon Yu, Mari Yasunaga, Ángel López García-Arias, Yasuyuki Okoshi, Shungo Kumazawa, Kota Ando, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Pianissimo: A Sub-mW Class DNN Accelerator with Progressive Bit-by-Bit Datapath Architecture for Adaptive Inference at Edge Conference

Symposium on VLSI Technology and Circuits, 2023, 2023.

BibTeX | タグ: Conference Papers

36.

Masato Motomura

[Keynote] Tackling the Explosions of Data and Solutions with Low-Bitwidth Computing Architectures Presentation

IEEE International Symposium on Multiple-Valued Logic (ISMVL), 22.05.2023.

BibTeX | タグ: Invited Talks

37.

大塚 光莉, 大越 康之, Ángel López García-Arias, 川村 一志, Thiem Van Chu, 劉載勲, 本村 真人

強い宝くじ仮説に基づく超軽量物体検出ニューラルネットワーク Technical Report

パターン認識・メディア理解研究会 (PRMU) , 2023.

BibTeX | タグ: Technical Reports

38.

本村 真人

[Invited] 非フォンノイマン・デジタル コンピューティングの研究動向 Presentation

JST ALCAnextワークショップ, 15.05.2023.

BibTeX | タグ: Invited Talks

39.

井上 源太, 小此木 大輝, Thiem Van Chu, 劉 載勲, 本村 真人, 川村 一志

[優秀ポスター賞-学生部門] アニーリングプロセッサにおける解探索効率化のための動的温度制御法の検討 Technical Report

LSIとシステムのワークショップ, 2023.

BibTeX | タグ: Awards, Technical Reports

40.

Thiem Van Chu, Yu Mizutani, Yuta Nagahara, Shungo Kumazawa, Kazushi Kawamura, Jaehoon Yu, Masato Motomura

Decision Forest Training Accelerator Based on Binary Feature Decomposition Proceedings Article

In: International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2023.

BibTeX | タグ: Conference Papers

41.

Genta Inoue, Daiki Okonogi, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

Flexibly Controllable Dynamic Cooling Methods for Solid-State Annealing Processors to Improve Combinatorial Optimization Performance Proceedings Article

In: IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL Chips 26, 2023.

BibTeX | タグ: Conference Papers

42.

Jiale Yan, Masato Motomura

[Best Poster Award] Optimized Deep MLP for Tensor Train-based Inference Engine Proceedings Article

In: IEEE Symposium on Low-Power and High-Speed Chips and Systems (COOL Chips), 2023.

BibTeX | タグ: Awards, Conference Papers

43.

Kazushi Kawamura, Jaehoon Yu, Daiki Okonogi, Satoru Jimbo, Genta Inoue, Akira Hyodo, Ángel López García-Arias, Kota Ando, Bruno Hideki Fukushima-Kimura, Ryota Yasudo, Thiem Van Chu, Masato Motomura

Amorphica: 4-Replica 512 Fully Connected Spin 336MHz Metamorphic Annealer with Programmable Optimization Strategy and Compressed-Spin-Transfer Multi-Chip Extension Proceedings Article

In: International Solid-State Circuits Conference (ISSCC), 2023.

BibTeX | タグ: Conference Papers

44.

Ángel López García-Arias, Yasuyuki Okoshi, Masanori Hashimoto, Masato Motomura, Jaehoon Yu

Recurrent Residual Networks Contain Stronger Lottery Tickets Journal Article

In: IEEE Access, vol. 11, pp. 16588-16604, 2023.

Links | BibTeX | タグ: Journal Papers

45.

Jiale Yan, Kota Ando, Jaehoon Yu, Masato Motomura

TT-MLP: Tensor Train Decomposition on Deep MLPs Journal Article

In: IEEE Access, vol. 11, pp. 10398-10411, 2023.

Links | BibTeX | タグ: Journal Papers

46.

塩田 晃弘, 市川 雄樹, 川村 一志, Thiem Van Chu, 本村 真人, 劉 載勲

同変性ネットワークに基づく自律走行向け強化学習手法 Technical Report

人工知能学会研究会資料 人工知能基本問題研究会 (SIG-FPAI), 2023.

BibTeX | タグ: Technical Reports

47.

安永 真梨, 鈴木 淳之介, 川村 一志, Thiem Van Chu, 本村 真人, 劉 載勲

FP8 SIMD演算に基づく高精度・高並列なFPGA向けNNアクセラレータ Technical Report

人工知能学会研究会資料 人工知能基本問題研究会 (SIG-FPAI), 2023.

BibTeX | タグ: Technical Reports

2022

48.

Masato Motomura

[Invited] AI Algorithm Innovation Calls for Innovative AI Accelerator Designs Presentation

NSTC-JST (Taiwan-Japan) Joint Workshop, Tainan, Taiwan, 19.12.2022.

BibTeX | タグ: Invited Talks

49.

本村 真人

[Invited] エッジAIの現状と展望: アルゴリズムと ハードウェアの協創の観点から Presentation

パナソニックシンポジウム,門真市, 01.12.2022.

BibTeX | タグ: Invited Talks

50.

Satoru Jimbo, Daiki Okonogi, Kota Ando, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

A Hybrid Integer Encoding Method for Obtaining High-quality Solutions of Quadratic Knapsack Problems on Solid-state Annealers Journal Article

In: IEICE Transactions on Information and Systems, 2022.

BibTeX | タグ: Journal Papers

472 entries « 1 of 10 »