469 entries « 1 of 10 »

2024

1.

Kyo Kuroki, Satoru Jimbo, Thiem Van Chu, Masato Motomura, Kazushi Kawamura

Classical Thermodynamics-based Parallel Annealing Algorithm for High-speed and Robust Combinatorial Optimization Proceedings Article

In: The Genetic and Evolutionary Computation Conference (GECCO), 2024.

BibTeX | タグ: Conference Papers

2.

Tsukasa Yamakura, Kazushi Kawamura, Masato Motomura, Thiem Van Chu

ETreeNet: Ensemble Model Fusing Decision Trees and Neural Networks for Small Tabular Data Proceedings Article

In: International Joint Conference on Neural Networks (IJCNN), 2024.

BibTeX | タグ: Conference Papers

3.

Junnosuke Suzuki, Mari Yasunaga, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Progressive Variable Precision DNN with Bitwise Ternary Accumulation Proceedings Article

In: International Conference on Artificial Intelligence Circuits and Systems (AICAS), 2024.

BibTeX | タグ: Conference Papers

4.

黒木 響, 神保 聡, Thiem Van Chu, 本村 真人, 川村 一志

平均場アニーリングに基づく高性能全並列型アニーリングアルゴリズム Technical Report

第11回量子ソフトウェア研究会, 2024.

BibTeX | タグ: Technical Reports

5.

Satoru Jimbo, Tatsuhiko Shirai, Nozomu Togawa, Masato Motomura, Kazushi Kawamura

A GPU-Based Ising Machine With a Multi-Spin-Flip Capability for Constrained Combinatorial Optimization Journal Article

In: IEEE Access, 2024.

BibTeX | タグ: Journal Papers

6.

Hikari Otsuka, Yasuyuki Okoshi, Ángel López García-Arias, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Ramanujan Edge-Popup: Finding Strong Lottery Tickets with Ramanujan Graph Properties for Efficient DNN Inference Execution Proceedings Article

In: Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI), 2024.

BibTeX | タグ: Conference Papers

7.

Yuta Nagahara, Jiale Yan, Kazushi Kawamura, Masato Motomura, Thiem Van Chu

Sparse-Sparse Matrix Multiplication Accelerator on FPGA featuring Distribute-Merge Product Dataflow Proceedings Article

In: Asia and South Pacific Design Automation Conference (ASP-DAC), 2024.

BibTeX | タグ: Conference Papers

8.

Shungo Kumazawa, Jaehoon Yu, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Toward Improving Ensemble-Based Collaborative Inference at the Edge Journal Article

In: IEEE Access, 2024.

BibTeX | タグ: Journal Papers

9.

Masato Watanabe, Shungo Kumazawa, Thiem Van Chu, Kazushi Kawamura, Jaehoon Yu, Masato Motomura

Exploration of Hyperdimensional Computing Using Locality-Sensitive Hashing Mechanism on FPGA Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | タグ: Conference Papers

10.

Mari Yasunaga, Junnosuke Suzuki, Masato Watanabe, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

High Throughput Datapath Design for Vision Permutator FPGA Accelerator Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | タグ: Conference Papers

11.

Yuki Ichikawa, Akihiro Shioda, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

An Accurate FPGA-Based ORB Feature Extractor for SLAM with Row-Wise Keypoint Selection Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | タグ: Conference Papers

12.

Yuta Nagahara, Jiale Yan, Kazushi Kawamura, Masato Motomura, Thiem Van Chu

Efficient COO to CSR Conversion for Accelerating Sparse Matrix Processing on FPGA Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | タグ: Conference Papers

2023

13.

Junnosuke Suzuki, Jaehoon Yu, Mari Yasunaga, Ángel López García-Arias, Yasuyuki Okoshi, Shungo Kumazawa, Kota Ando, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Pianissimo: A Sub-mW Class DNN Accelerator With Progressively Adjustable Bit-Precision Journal Article

In: IEEE Access, 2023.

BibTeX | タグ: Journal Papers

14.

Mari Yasunaga, Junnosuke Suzuki, Masato Watanabe, Kazushi Kawamura, Thiem Van Chu, Jaehoon Yu, Masato Motomura

A Highly Accurate and Parallel Vision MLP FPGA Accelerator based on FP7/8 SIMD Operations Proceedings Article

In: International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 2023.

BibTeX | タグ: Conference Papers

15.

Masato Motomura

[Invited - Keynote] The Future of Low-bitwidth Reconfigurable and Parallel AI Computing Presentation

International Conference on Field-Programmable Technology (FPT), 12.12.2023.

BibTeX | タグ: Invited Talks

16.

Daiki Okonogi, Satoru Jimbo, Kota Ando, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

A Fully-Parallel Annealing Algorithm with Autonomous Pinning Effect Control for Various Combinatorial Optimization Problems Journal Article

In: IEICE TRANSACTIONS on Information and Systems, vol. E106-D, no. 12, pp. 1969-1978, 2023, ISSN: 1745-1361.

Abstract | Links | BibTeX | タグ: Journal Papers

17.

Daiki Okonogi, Satoru Jimbo, Kota Ando, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

A Fully-Parallel Annealing Algorithm with Autonomous Pinning Effect Control for Various Combinatorial Optimization Problems Journal Article

In: IEICE Transactions on Information and Systems, 2023.

BibTeX | タグ: Journal Papers

18.

Bruno Hideki Fukushima-Kimura, Satoshi Handa, Katsuhiro Kamakura, Yoshinori Kamijima, Kazushi Kawamura, Akira Sakai

Mixing time and simulated annealing for the stochastic cellular automata Journal Article

In: Journal of Statistical Physics, vol. 190, no. 79, pp. 1-20, 2023.

Links | BibTeX | タグ: Journal Papers

19.

Bruno Hideki Fukushima-Kimura, Yoshinori Kamijima, Kazushi Kawamura, Akira Sakai

Stochastic optimization: Glauber dynamics versus stochastic cellular automata Journal Article

In: Transactions of the Institute of Systems, Control and Information Engineers, vol. 36, no. 1, pp. 9-16, 2023.

Links | BibTeX | タグ: Journal Papers

20.

大塚 光莉

[優秀構想発表賞] ニューラルネットワークの学習コスト削減に向けた学習前刈込・強い宝くじ仮説の研究 Presentation

東京工業大学 情報通信コース 構想発表会, 30.11.2023.

BibTeX | タグ: Awards

21.

Masato Motomura

[Invited] AI Computing - Tackling the Explosions of Data to Process and Decisions to Make Presentation

Samsung AI Forum, 07.11.2023.

BibTeX | タグ: Invited Talks

22.

本村 真人

[Invited] 超高次元分散ベクトル表現を基軸とする 融合型AIコンピューティング基盤の開拓 Presentation

科研費学術変革AFSA領域集会, 24.10.2023.

BibTeX | タグ: Invited Talks

23.

Alireza Khadem, Daichi Fujiki, Nishil Talati, Scott A. Mahlke, Reetuparna Das

[Best Paper Nomination] Vector-Processing for Mobile Devices: Benchmark and Analysis Proceedings Article

In: IEEE International Symposium on Workload Characterization, 2023.

BibTeX | タグ: Awards, Conference Papers

24.

川村 一志

[Invited] 学習/数理モデルに基づく時空間展開型アーキテクチャの創出と応用プロジェクトの社会実装 Presentation

第22回情報科学技術フォーラム(FIT 2023), 08.09.2023, (イベント企画 Society 5.0社会のためのコンピューティング技術をどう生かすか?).

BibTeX | タグ: Invited Talks

25.

川村 一志

[Invited] 問題に応じて計算手法を選択・最適化するアニーリングプロセッサLSI「Amorphica」 Presentation

第22回情報科学技術フォーラム(FIT 2023), 08.09.2023, (トップコンファレンス6-2 ハードウェア).

BibTeX | タグ: Invited Talks

26.

本村 真人

[Invited] 動的再構成プロセッサの研究開発と事業化 及びAI分野への展開 Presentation

半導体産業人協会, 07.08.2023.

BibTeX | タグ: Invited Talks

27.

鈴木 淳之介, 安永 真梨, Ángel López García-Arias, 大越 康之, 熊澤 峻悟, 安藤 洸太, 川村 一志, Thiem Van Chu, 本村 真人

[研究会優秀講演賞-若手部門] Pianissimo: エッジでの適応的な推論を実現するサブmWクラスDNNアクセラレータ Technical Report

電子情報通信学会 リコンフィギャラブルシステム研究会 (RECONF), 2023.

BibTeX | タグ: Awards, Technical Reports

28.

本村 真人

[Invited] エッジ知能の実現に向けた低ビット幅表現・並列処理AIエンジン群の研究 Presentation

ITE-ITS研究会, 01.08.2023.

BibTeX | タグ: Invited Talks

29.

本村 真人

[Invited] AIエッジの今後を展望する Presentation

NEDO AIエッジ事業報告会, 27.07.2023.

BibTeX | タグ: Invited Talks

30.

鈴木 淳之介, 安永 真梨, Ángel López García-Arias, 大越 康之, 熊澤 峻悟, 安藤 洸太, 川村 一志, Thiem Van Chu, 本村 真人

[Invited] Pianissimo: A Sub-mW Class DNN Accelerator with Progressive Bit-by-Bit Datapath Architecture for Adaptive Inference at Edge Presentation

Symposia on VLSI Technology/Circuits 国内報告会, 25.07.2023.

BibTeX | タグ: Invited Talks

31.

山倉 司, 川村 一志, 本村 真人, Thiem Van Chu

表形式データを対象とした決定木とニューラルネットワークの融合型機械学習手法の研究 Technical Report

情報論的学習理論と機械学習研究会(IBISML), 2023.

BibTeX | タグ: Technical Reports

32.

Junnosuke Suzuki, Jaehoon Yu, Mari Yasunaga, Ángel López García-Arias, Yasuyuki Okoshi, Shungo Kumazawa, Kota Ando, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Pianissimo: A Sub-mW Class DNN Accelerator with Progressive Bit-by-Bit Datapath Architecture for Adaptive Inference at Edge Conference

Symposium on VLSI Technology and Circuits, 2023, 2023.

BibTeX | タグ: Conference Papers

33.

Masato Motomura

[Keynote] Tackling the Explosions of Data and Solutions with Low-Bitwidth Computing Architectures Presentation

IEEE International Symposium on Multiple-Valued Logic (ISMVL), 22.05.2023.

BibTeX | タグ: Invited Talks

34.

大塚 光莉, 大越 康之, Ángel López García-Arias, 川村 一志, Thiem Van Chu, 劉載勲, 本村 真人

強い宝くじ仮説に基づく超軽量物体検出ニューラルネットワーク Technical Report

パターン認識・メディア理解研究会 (PRMU) , 2023.

BibTeX | タグ: Technical Reports

35.

本村 真人

[Invited] 非フォンノイマン・デジタル コンピューティングの研究動向 Presentation

JST ALCAnextワークショップ, 15.05.2023.

BibTeX | タグ: Invited Talks

36.

井上 源太, 小此木 大輝, Thiem Van Chu, 劉 載勲, 本村 真人, 川村 一志

[優秀ポスター賞-学生部門] アニーリングプロセッサにおける解探索効率化のための動的温度制御法の検討 Technical Report

LSIとシステムのワークショップ, 2023.

BibTeX | タグ: Awards, Technical Reports

37.

Thiem Van Chu, Yu Mizutani, Yuta Nagahara, Shungo Kumazawa, Kazushi Kawamura, Jaehoon Yu, Masato Motomura

Decision Forest Training Accelerator Based on Binary Feature Decomposition Proceedings Article

In: International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2023.

BibTeX | タグ: Conference Papers

38.

Genta Inoue, Daiki Okonogi, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

Flexibly Controllable Dynamic Cooling Methods for Solid-State Annealing Processors to Improve Combinatorial Optimization Performance Proceedings Article

In: IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL Chips 26, 2023.

BibTeX | タグ: Conference Papers

39.

Jiale Yan, Masato Motomura

[Best Poster Award] Optimized Deep MLP for Tensor Train-based Inference Engine Proceedings Article

In: IEEE Symposium on Low-Power and High-Speed Chips and Systems (COOL Chips), 2023.

BibTeX | タグ: Awards, Conference Papers

40.

Kazushi Kawamura, Jaehoon Yu, Daiki Okonogi, Satoru Jimbo, Genta Inoue, Akira Hyodo, Ángel López García-Arias, Kota Ando, Bruno Hideki Fukushima-Kimura, Ryota Yasudo, Thiem Van Chu, Masato Motomura

Amorphica: 4-Replica 512 Fully Connected Spin 336MHz Metamorphic Annealer with Programmable Optimization Strategy and Compressed-Spin-Transfer Multi-Chip Extension Proceedings Article

In: International Solid-State Circuits Conference (ISSCC), 2023.

BibTeX | タグ: Conference Papers

41.

Ángel López García-Arias, Yasuyuki Okoshi, Masanori Hashimoto, Masato Motomura, Jaehoon Yu

Recurrent Residual Networks Contain Stronger Lottery Tickets Journal Article

In: IEEE Access, vol. 11, pp. 16588-16604, 2023.

Links | BibTeX | タグ: Journal Papers

42.

Jiale Yan, Kota Ando, Jaehoon Yu, Masato Motomura

TT-MLP: Tensor Train Decomposition on Deep MLPs Journal Article

In: IEEE Access, vol. 11, pp. 10398-10411, 2023.

Links | BibTeX | タグ: Journal Papers

43.

安永 真梨, 鈴木 淳之介, 川村 一志, Thiem Van Chu, 本村 真人, 劉 載勲

FP8 SIMD演算に基づく高精度・高並列なFPGA向けNNアクセラレータ Technical Report

人工知能学会研究会資料 人工知能基本問題研究会 (SIG-FPAI), 2023.

BibTeX | タグ: Technical Reports

44.

塩田 晃弘, 市川 雄樹, 川村 一志, Thiem Van Chu, 本村 真人, 劉 載勲

同変性ネットワークに基づく自律走行向け強化学習手法 Technical Report

人工知能学会研究会資料 人工知能基本問題研究会 (SIG-FPAI), 2023.

BibTeX | タグ: Technical Reports

2022

45.

Masato Motomura

[Invited] AI Algorithm Innovation Calls for Innovative AI Accelerator Designs Presentation

NSTC-JST (Taiwan-Japan) Joint Workshop, Tainan, Taiwan, 19.12.2022.

BibTeX | タグ: Invited Talks

46.

Satoru Jimbo, Daiki Okonogi, Kota Ando, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

A Hybrid Integer Encoding Method for Obtaining High-quality Solutions of Quadratic Knapsack Problems on Solid-state Annealers Journal Article

In: IEICE Transactions on Information and Systems, 2022.

BibTeX | タグ: Journal Papers

47.

本村 真人

[Invited] エッジAIの現状と展望: アルゴリズムと ハードウェアの協創の観点から Presentation

パナソニックシンポジウム,門真市, 01.12.2022.

BibTeX | タグ: Invited Talks

48.

本村 真人

[Invited] 動的再構成プロセッサの研究開発と事業化 及びAI分野への展開 Presentation

山崎貞一賞受賞式典,日本学士院, 30.11.2022.

BibTeX | タグ: Invited Talks

49.

本村 真人

[第22回山﨑貞一賞-半導体及びシステム・情報・エレクトロニクス分野] 動的再構成プロセッサの研究開発と事業化及びAI分野への展開 Presentation

30.11.2022.

BibTeX | タグ: Awards

50.

本村 真人

[Invited] 学習/数理モデルに基づく時空間展開型アーキテクチャ Presentation

東京大学情報理工学系セミナー, 14.11.2022.

BibTeX | タグ: Invited Talks

469 entries « 1 of 10 »