462 entries « 1 of 10 »

2024

1.

Yuta Nagahara, Jiale Yan, Kazushi Kawamura, Masato Motomura, Thiem Van Chu

Sparse-Sparse Matrix Multiplication Accelerator on FPGA featuring Distribute-Merge Product Dataflow Proceedings Article

In: Asia and South Pacific Design Automation Conference (ASP-DAC), 2024.

BibTeX | Tags: Conference Papers

2.

Masato Watanabe, Shungo Kumazawa, Thiem Van Chu, Kazushi Kawamura, Jaehoon Yu, Masato Motomura

Exploration of Hyperdimensional Computing Using Locality-Sensitive Hashing Mechanism on FPGA Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | Tags: Conference Papers

3.

Mari Yasunaga, Junnosuke Suzuki, Masato Watanabe, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

High Throughput Datapath Design for Vision Permutator FPGA Accelerator Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | Tags: Conference Papers

4.

Yuki Ichikawa, Akihiro Shioda, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

An Accurate FPGA-Based ORB Feature Extractor for SLAM with Row-Wise Keypoint Selection Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | Tags: Conference Papers

5.

Yuta Nagahara, Jiale Yan, Kazushi Kawamura, Masato Motomura, Thiem Van Chu

Efficient COO to CSR Conversion for Accelerating Sparse Matrix Processing on FPGA Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | Tags: Conference Papers

2023

6.

Junnosuke Suzuki, Jaehoon Yu, Mari Yasunaga, Ángel López García-Arias, Yasuyuki Okoshi, Shungo Kumazawa, Kota Ando, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Pianissimo: A Sub-mW Class DNN Accelerator With Progressively Adjustable Bit-Precision Journal Article

In: IEEE Access, 2023.

BibTeX | Tags: Journal Papers

7.

Mari Yasunaga, Junnosuke Suzuki, Masato Watanabe, Kazushi Kawamura, Thiem Van Chu, Jaehoon Yu, Masato Motomura

A Highly Accurate and Parallel Vision MLP FPGA Accelerator based on FP7/8 SIMD Operations Proceedings Article

In: International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 2023.

BibTeX | Tags: Conference Papers

8.

Masato Motomura

[Invited - Keynote] The Future of Low-bitwidth Reconfigurable and Parallel AI Computing Presentation

International Conference on Field-Programmable Technology (FPT), 12.12.2023.

BibTeX | Tags: Invited Talks

9.

Daiki Okonogi, Satoru Jimbo, Kota Ando, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

A Fully-Parallel Annealing Algorithm with Autonomous Pinning Effect Control for Various Combinatorial Optimization Problems Journal Article

In: IEICE TRANSACTIONS on Information and Systems, vol. E106-D, no. 12, pp. 1969-1978, 2023, ISSN: 1745-1361.

Abstract | Links | BibTeX | Tags: Journal Papers

10.

Daiki Okonogi, Satoru Jimbo, Kota Ando, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

A Fully-Parallel Annealing Algorithm with Autonomous Pinning Effect Control for Various Combinatorial Optimization Problems Journal Article

In: IEICE Transactions on Information and Systems, 2023.

BibTeX | Tags: Journal Papers

11.

Bruno Hideki Fukushima-Kimura, Satoshi Handa, Katsuhiro Kamakura, Yoshinori Kamijima, Kazushi Kawamura, Akira Sakai

Mixing time and simulated annealing for the stochastic cellular automata Journal Article

In: Journal of Statistical Physics, vol. 190, no. 79, pp. 1-20, 2023.

Links | BibTeX | Tags: Journal Papers

12.

Bruno Hideki Fukushima-Kimura, Yoshinori Kamijima, Kazushi Kawamura, Akira Sakai

Stochastic optimization: Glauber dynamics versus stochastic cellular automata Journal Article

In: Transactions of the Institute of Systems, Control and Information Engineers, vol. 36, no. 1, pp. 9-16, 2023.

Links | BibTeX | Tags: Journal Papers

13.

大塚 光莉

[優秀構想発表賞] ニューラルネットワークの学習コスト削減に向けた学習前刈込・強い宝くじ仮説の研究 Presentation

東京工業大学 情報通信コース 構想発表会, 30.11.2023.

BibTeX | Tags: Awards

14.

Masato Motomura

[Invited] AI Computing - Tackling the Explosions of Data to Process and Decisions to Make Presentation

Samsung AI Forum, 07.11.2023.

BibTeX | Tags: Invited Talks

15.

本村 真人

[Invited] 超高次元分散ベクトル表現を基軸とする 融合型AIコンピューティング基盤の開拓 Presentation

科研費学術変革AFSA領域集会, 24.10.2023.

BibTeX | Tags: Invited Talks

16.

Alireza Khadem, Daichi Fujiki, Nishil Talati, Scott A. Mahlke, Reetuparna Das

[Best Paper Nomination] Vector-Processing for Mobile Devices: Benchmark and Analysis Proceedings Article

In: IEEE International Symposium on Workload Characterization, 2023.

BibTeX | Tags: Awards, Conference Papers

17.

川村 一志

[Invited] 学習/数理モデルに基づく時空間展開型アーキテクチャの創出と応用プロジェクトの社会実装 Presentation

第22回情報科学技術フォーラム(FIT 2023), 08.09.2023, (イベント企画 Society 5.0社会のためのコンピューティング技術をどう生かすか?).

BibTeX | Tags: Invited Talks

18.

川村 一志

[Invited] 問題に応じて計算手法を選択・最適化するアニーリングプロセッサLSI「Amorphica」 Presentation

第22回情報科学技術フォーラム(FIT 2023), 08.09.2023, (トップコンファレンス6-2 ハードウェア).

BibTeX | Tags: Invited Talks

19.

本村 真人

[Invited] 動的再構成プロセッサの研究開発と事業化 及びAI分野への展開 Presentation

半導体産業人協会, 07.08.2023.

BibTeX | Tags: Invited Talks

20.

鈴木 淳之介, 安永 真梨, Ángel López García-Arias, 大越 康之, 熊澤 峻悟, 安藤 洸太, 川村 一志, Thiem Van Chu, 本村 真人

[研究会優秀講演賞-若手部門] Pianissimo: エッジでの適応的な推論を実現するサブmWクラスDNNアクセラレータ Technical Report

電子情報通信学会 リコンフィギャラブルシステム研究会 (RECONF), 2023.

BibTeX | Tags: Awards, Technical Reports

21.

本村 真人

[Invited] エッジ知能の実現に向けた低ビット幅表現・並列処理AIエンジン群の研究 Presentation

ITE-ITS研究会, 01.08.2023.

BibTeX | Tags: Invited Talks

22.

本村 真人

[Invited] AIエッジの今後を展望する Presentation

NEDO AIエッジ事業報告会, 27.07.2023.

BibTeX | Tags: Invited Talks

23.

鈴木 淳之介, 安永 真梨, Ángel López García-Arias, 大越 康之, 熊澤 峻悟, 安藤 洸太, 川村 一志, Thiem Van Chu, 本村 真人

[Invited] Pianissimo: A Sub-mW Class DNN Accelerator with Progressive Bit-by-Bit Datapath Architecture for Adaptive Inference at Edge Presentation

Symposia on VLSI Technology/Circuits 国内報告会, 25.07.2023.

BibTeX | Tags: Invited Talks

24.

山倉 司, 川村 一志, 本村 真人, Thiem Van Chu

表形式データを対象とした決定木とニューラルネットワークの融合型機械学習手法の研究 Technical Report

情報論的学習理論と機械学習研究会(IBISML), 2023.

BibTeX | Tags: Technical Reports

25.

Junnosuke Suzuki, Jaehoon Yu, Mari Yasunaga, Ángel López García-Arias, Yasuyuki Okoshi, Shungo Kumazawa, Kota Ando, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Pianissimo: A Sub-mW Class DNN Accelerator with Progressive Bit-by-Bit Datapath Architecture for Adaptive Inference at Edge Conference

Symposium on VLSI Technology and Circuits, 2023, 2023.

BibTeX | Tags: Conference Papers

26.

Masato Motomura

[Keynote] Tackling the Explosions of Data and Solutions with Low-Bitwidth Computing Architectures Presentation

IEEE International Symposium on Multiple-Valued Logic (ISMVL), 22.05.2023.

BibTeX | Tags: Invited Talks

27.

大塚 光莉, 大越 康之, Ángel López García-Arias, 川村 一志, Thiem Van Chu, 劉載勲, 本村 真人

強い宝くじ仮説に基づく超軽量物体検出ニューラルネットワーク Technical Report

パターン認識・メディア理解研究会 (PRMU) , 2023.

BibTeX | Tags: Technical Reports

28.

本村 真人

[Invited] 非フォンノイマン・デジタル コンピューティングの研究動向 Presentation

JST ALCAnextワークショップ, 15.05.2023.

BibTeX | Tags: Invited Talks

29.

井上 源太, 小此木 大輝, Thiem Van Chu, 劉 載勲, 本村 真人, 川村 一志

[優秀ポスター賞-学生部門] アニーリングプロセッサにおける解探索効率化のための動的温度制御法の検討 Technical Report

LSIとシステムのワークショップ, 2023.

BibTeX | Tags: Awards, Technical Reports

30.

Thiem Van Chu, Yu Mizutani, Yuta Nagahara, Shungo Kumazawa, Kazushi Kawamura, Jaehoon Yu, Masato Motomura

Decision Forest Training Accelerator Based on Binary Feature Decomposition Proceedings Article

In: International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2023.

BibTeX | Tags: Conference Papers

31.

Jiale Yan, Masato Motomura

[Best Poster Award] Optimized Deep MLP for Tensor Train-based Inference Engine Proceedings Article

In: IEEE Symposium on Low-Power and High-Speed Chips and Systems (COOL Chips), 2023.

BibTeX | Tags: Awards, Conference Papers

32.

Genta Inoue, Daiki Okonogi, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

Flexibly Controllable Dynamic Cooling Methods for Solid-State Annealing Processors to Improve Combinatorial Optimization Performance Proceedings Article

In: IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL Chips 26, 2023.

BibTeX | Tags: Conference Papers

33.

Kazushi Kawamura, Jaehoon Yu, Daiki Okonogi, Satoru Jimbo, Genta Inoue, Akira Hyodo, Ángel López García-Arias, Kota Ando, Bruno Hideki Fukushima-Kimura, Ryota Yasudo, Thiem Van Chu, Masato Motomura

Amorphica: 4-Replica 512 Fully Connected Spin 336MHz Metamorphic Annealer with Programmable Optimization Strategy and Compressed-Spin-Transfer Multi-Chip Extension Proceedings Article

In: International Solid-State Circuits Conference (ISSCC), 2023.

BibTeX | Tags: Conference Papers

34.

Ángel López García-Arias, Yasuyuki Okoshi, Masanori Hashimoto, Masato Motomura, Jaehoon Yu

Recurrent Residual Networks Contain Stronger Lottery Tickets Journal Article

In: IEEE Access, vol. 11, pp. 16588-16604, 2023.

Links | BibTeX | Tags: Journal Papers

35.

Jiale Yan, Kota Ando, Jaehoon Yu, Masato Motomura

TT-MLP: Tensor Train Decomposition on Deep MLPs Journal Article

In: IEEE Access, vol. 11, pp. 10398-10411, 2023.

Links | BibTeX | Tags: Journal Papers

36.

安永 真梨, 鈴木 淳之介, 川村 一志, Thiem Van Chu, 本村 真人, 劉 載勲

FP8 SIMD演算に基づく高精度・高並列なFPGA向けNNアクセラレータ Technical Report

人工知能学会研究会資料 人工知能基本問題研究会 (SIG-FPAI), 2023.

BibTeX | Tags: Technical Reports

37.

塩田 晃弘, 市川 雄樹, 川村 一志, Thiem Van Chu, 本村 真人, 劉 載勲

同変性ネットワークに基づく自律走行向け強化学習手法 Technical Report

人工知能学会研究会資料 人工知能基本問題研究会 (SIG-FPAI), 2023.

BibTeX | Tags: Technical Reports

2022

38.

Masato Motomura

[Invited] AI Algorithm Innovation Calls for Innovative AI Accelerator Designs Presentation

NSTC-JST (Taiwan-Japan) Joint Workshop, Tainan, Taiwan, 19.12.2022.

BibTeX | Tags: Invited Talks

39.

本村 真人

[Invited] エッジAIの現状と展望: アルゴリズムと ハードウェアの協創の観点から Presentation

パナソニックシンポジウム,門真市, 01.12.2022.

BibTeX | Tags: Invited Talks

40.

Satoru Jimbo, Daiki Okonogi, Kota Ando, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

A Hybrid Integer Encoding Method for Obtaining High-quality Solutions of Quadratic Knapsack Problems on Solid-state Annealers Journal Article

In: IEICE Transactions on Information and Systems, 2022.

BibTeX | Tags: Journal Papers

41.

本村 真人

[Invited] 動的再構成プロセッサの研究開発と事業化 及びAI分野への展開 Presentation

山崎貞一賞受賞式典,日本学士院, 30.11.2022.

BibTeX | Tags: Invited Talks

42.

本村 真人

[第22回山﨑貞一賞-半導体及びシステム・情報・エレクトロニクス分野] 動的再構成プロセッサの研究開発と事業化及びAI分野への展開 Presentation

30.11.2022.

BibTeX | Tags: Awards

43.

本村 真人

[Invited] 学習/数理モデルに基づく時空間展開型アーキテクチャ Presentation

東京大学情報理工学系セミナー, 14.11.2022.

BibTeX | Tags: Invited Talks

44.

井上 源太, 小此木 大輝, Thiem Van Chu, 劉 載勲, 本村 真人, 川村 一志

巡回セールスマン問題を対象とした並列アニーリング手法の評価 Technical Report

第199回SLDM研究発表会(SLDM WIP Forum 2022), 2022.

BibTeX | Tags: Technical Reports

45.

兵藤 旭, 神保 聡, Thiem Van Chu, 劉 載勲, 本村 真人, 川村 一志

[WIP最優秀賞] 2スピン同時フリップを並列試行可能なシミュレーテッドアニーリング手法の検討 Technical Report

第199回SLDM研究発表会(SLDM WIP Forum 2022), 2022.

BibTeX | Tags: Awards, Technical Reports

46.

Jaehoon Yu

[Invited] Hiddenite: CNN Inference Accelerator for Randomly Weighted Neural Networks Presentation

International Conference on Solid State Devices and Materials (SSDM), 28.09.2022.

BibTeX | Tags: Invited Talks

47.

廣瀨 一俊

[Invited] Hiddenite: オンチップモデル構築を用いる隠れニューラルネットワーク理論の推論テンソルエンジン Presentation

第21回情報科学技術フォーラム(FIT2022) トップコンファレンス7-1 リコンフィギャラブルシステム, 15.09.2022.

BibTeX | Tags: Invited Talks

48.

Thiem Van Chu

[Invited] 特徴空間事前分割型決定森推論アクセラレータ Presentation

第21回情報科学技術フォーラム(FIT2022) トップコンファレンス7-1 リコンフィギャラブルシステム, 15.09.2022.

BibTeX | Tags: Invited Talks

49.

本村 真人

[Invited] 学習/数理モデルに基づく時空間展開型アーキテクチャの創出と応用 Presentation

CRESTコンピューティング基盤公開シンポジウム,JST本部,東京, 11.09.2022.

BibTeX | Tags: Invited Talks

50.

本村 真人

[Invited] 構造型情報処理に関する先駆的研究とそのAI情報処理への展開 Presentation

市村賞受賞記念フォーラム,ホテルメトロポリタン仙台,仙台, 19.08.2022.

BibTeX | Tags: Invited Talks

462 entries « 1 of 10 »