Show all

276 entries « 1 of 6 »

2024

1.

Kyo Kuroki, Satoru Jimbo, Thiem Van Chu, Masato Motomura, Kazushi Kawamura

Classical Thermodynamics-based Parallel Annealing Algorithm for High-speed and Robust Combinatorial Optimization Proceedings Article

In: The Genetic and Evolutionary Computation Conference (GECCO), 2024.

BibTeX | Tags: Conference Papers

2.

Tsukasa Yamakura, Kazushi Kawamura, Masato Motomura, Thiem Van Chu

ETreeNet: Ensemble Model Fusing Decision Trees and Neural Networks for Small Tabular Data Proceedings Article

In: International Joint Conference on Neural Networks (IJCNN), 2024.

BibTeX | Tags: Conference Papers

3.

Junnosuke Suzuki, Mari Yasunaga, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Progressive Variable Precision DNN with Bitwise Ternary Accumulation Proceedings Article

In: International Conference on Artificial Intelligence Circuits and Systems (AICAS), 2024.

BibTeX | Tags: Conference Papers

4.

Hikari Otsuka, Yasuyuki Okoshi, Ángel López García-Arias, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Ramanujan Edge-Popup: Finding Strong Lottery Tickets with Ramanujan Graph Properties for Efficient DNN Inference Execution Proceedings Article

In: Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI), 2024.

BibTeX | Tags: Conference Papers

5.

Yuta Nagahara, Jiale Yan, Kazushi Kawamura, Masato Motomura, Thiem Van Chu

Sparse-Sparse Matrix Multiplication Accelerator on FPGA featuring Distribute-Merge Product Dataflow Proceedings Article

In: Asia and South Pacific Design Automation Conference (ASP-DAC), 2024.

BibTeX | Tags: Conference Papers

6.

Masato Watanabe, Shungo Kumazawa, Thiem Van Chu, Kazushi Kawamura, Jaehoon Yu, Masato Motomura

Exploration of Hyperdimensional Computing Using Locality-Sensitive Hashing Mechanism on FPGA Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | Tags: Conference Papers

7.

Mari Yasunaga, Junnosuke Suzuki, Masato Watanabe, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

High Throughput Datapath Design for Vision Permutator FPGA Accelerator Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | Tags: Conference Papers

8.

Yuki Ichikawa, Akihiro Shioda, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

An Accurate FPGA-Based ORB Feature Extractor for SLAM with Row-Wise Keypoint Selection Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | Tags: Conference Papers

9.

Yuta Nagahara, Jiale Yan, Kazushi Kawamura, Masato Motomura, Thiem Van Chu

Efficient COO to CSR Conversion for Accelerating Sparse Matrix Processing on FPGA Proceedings Article

In: International Conference on Consumer Electronics (ICCE), 2024.

BibTeX | Tags: Conference Papers

2023

10.

Mari Yasunaga, Junnosuke Suzuki, Masato Watanabe, Kazushi Kawamura, Thiem Van Chu, Jaehoon Yu, Masato Motomura

A Highly Accurate and Parallel Vision MLP FPGA Accelerator based on FP7/8 SIMD Operations Proceedings Article

In: International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 2023.

BibTeX | Tags: Conference Papers

11.

Alireza Khadem, Daichi Fujiki, Nishil Talati, Scott A. Mahlke, Reetuparna Das

[Best Paper Nomination] Vector-Processing for Mobile Devices: Benchmark and Analysis Proceedings Article

In: IEEE International Symposium on Workload Characterization, 2023.

BibTeX | Tags: Awards, Conference Papers

12.

Junnosuke Suzuki, Jaehoon Yu, Mari Yasunaga, Ángel López García-Arias, Yasuyuki Okoshi, Shungo Kumazawa, Kota Ando, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Pianissimo: A Sub-mW Class DNN Accelerator with Progressive Bit-by-Bit Datapath Architecture for Adaptive Inference at Edge Conference

Symposium on VLSI Technology and Circuits, 2023, 2023.

BibTeX | Tags: Conference Papers

13.

Thiem Van Chu, Yu Mizutani, Yuta Nagahara, Shungo Kumazawa, Kazushi Kawamura, Jaehoon Yu, Masato Motomura

Decision Forest Training Accelerator Based on Binary Feature Decomposition Proceedings Article

In: International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2023.

BibTeX | Tags: Conference Papers

14.

Genta Inoue, Daiki Okonogi, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

Flexibly Controllable Dynamic Cooling Methods for Solid-State Annealing Processors to Improve Combinatorial Optimization Performance Proceedings Article

In: IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL Chips 26, 2023.

BibTeX | Tags: Conference Papers

15.

Jiale Yan, Masato Motomura

[Best Poster Award] Optimized Deep MLP for Tensor Train-based Inference Engine Proceedings Article

In: IEEE Symposium on Low-Power and High-Speed Chips and Systems (COOL Chips), 2023.

BibTeX | Tags: Awards, Conference Papers

16.

Kazushi Kawamura, Jaehoon Yu, Daiki Okonogi, Satoru Jimbo, Genta Inoue, Akira Hyodo, Ángel López García-Arias, Kota Ando, Bruno Hideki Fukushima-Kimura, Ryota Yasudo, Thiem Van Chu, Masato Motomura

Amorphica: 4-Replica 512 Fully Connected Spin 336MHz Metamorphic Annealer with Programmable Optimization Strategy and Compressed-Spin-Transfer Multi-Chip Extension Proceedings Article

In: International Solid-State Circuits Conference (ISSCC), 2023.

BibTeX | Tags: Conference Papers

2022

17.

Masafumi Tanaka, Jaehoon Yu, Masaki Nakagawa, Naoya Tate, Masanori Hashimoto

Investigating Small Device Implementation of FRET-based Optical Reservoir Computing Proceedings Article

In: The IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), 2022.

BibTeX | Tags: Conference Papers

18.

Yasuyuki Okoshi, Ángel López García-Arias, Kazutoshi Hirose, Kota Ando, Kazushi Kawamura, Thiem Van Chu, Masato Motomura, Jaehoon Yu

Multicoated Supermasks Enhance Hidden Networks Proceedings Article

In: International Conference on Machine Learning (ICML), 2022.

BibTeX | Tags: Conference Papers

19.

Satida Sookpong, Teerasit Kasetkasem, Teera Phatrapornnant, Jaehoon Yu

A Unhealthy Plant Identification System Using a Generative Adversarial Network Proceedings Article

In: International Conference on Electrical Engineering/Electronics, Computer, Telecommunications and Information Technology (ECTI-CON), 2022.

BibTeX | Tags: Conference Papers

20.

Kazutoshi Hirose, Jaehoon Yu, Kota Ando, Yasuyuki Okoshi, Ángel López García-Arias, Junnosuke Suzuki, Thiem Van Chu, Kazushi Kawamura, Masato Motomura

Hiddenite: 4K-PE Hidden Network Inference 4D-Tensor Engine Exploiting On-Chip Model Construction Achieving 34.8-to-16.0TOPS/W for CIFAR-100 and ImageNet Proceedings Article

In: International Solid-State Circuits Conference (ISSCC), 2022.

BibTeX | Tags: Conference Papers

2021

21.

Thiem Van Chu, Ryuichi Kitajima, Kazushi Kawamura, Jaehoon Yu, Masato Motomura

[Best Paper Award] A High-Performance and Flexible FPGA Inference Accelerator for Decision Forests Based on Prior Feature Space Partitioning Proceedings Article

In: International Conference on Field-Programmable Technology (FPT), 2021.

BibTeX | Tags: Awards, Conference Papers

22.

Ángel López García-Arias, Masanori Hashimoto, Masato Motomura, Jaehoon Yu

Hidden-Fold Networks: Random Recurrent Residuals Using Sparse Supermasks Proceedings Article

In: The British Machine Vision Conference (BMVC), 2021.

BibTeX | Tags: Conference Papers

23.

Kota Ando, Jaehoon Yu, Kazutoshi Hirose, Hiroki Nakahara, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Edge Inference Engine for Deep & Random Sparse Neural Networks with 4-bit Cartesian-Product MAC Array and Pipelined Activation Aligner Proceedings Article

In: Hot Chips 33 (Poster), 2021.

BibTeX | Tags: Conference Papers

24.

Takashi Imagawa, Jaehoon Yu, Masanori Hashimoto, Hiroyuki Ochi

MUX Granularity-Oriented Iterative Technology Mapping for Implementing Compute-Intensive Applications on Via-Switch FPGA Proceedings Article

In: Design, Automation and Test in Europe Conference (DATE), 2021.

BibTeX | Tags: Conference Papers

2020

25.

Shungo Kumazawa, Kazushi Kawamura, Thiem Van Chu, Masato Motomura, Jaehoon Yu

ExtraFerns: Fully Parallel Ensemble Learning Technique with Non-Greedy yet Minimal Memory Access Training Proceedings Article

In: International Symposium on Computing and Networking (CANDAR), 2020.

BibTeX | Tags: Conference Papers

26.

Junnosuke Suzuki, Kota Ando, Kazutoshi Hirose, Kazushi Kawamura, Thiem Van Chu, Masato Motomura, Jaehoon Yu

ProgressiveNN: Achieving Computational Scalability without Network Alteration by MSB-first Accumulative Computation Proceedings Article

In: International Symposium on Computing and Networking (CANDAR), 2020.

BibTeX | Tags: Conference Papers

27.

Daichi Fujiki, Shunhao Wu, Nathan Ozog, Kush Goliya, David T. Blaauw, Satish Narayanasamy, Reetuparna Das

[IEEE CS Tokyo/Japan Joint Local Chapters Young Author Award 2022] SeedEx: A Genome Sequencing Accelerator for Optimal Alignments in Subminimal Space Proceedings Article

In: International Symposium on Microarchitecture (MICRO), 2020.

BibTeX | Tags: Awards, Conference Papers

28.

Ángel López García-Arias, Jaehoon Yu, Masanori Hashimoto

Low-Cost Reservoir Computing using Cellular Automata and Random Forests Proceedings Article

In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-5, 2020.

BibTeX | Tags: Conference Papers

29.

Kota Shiba, Tatsuo Omori, Kodai Ueyoshi, Kota Ando, Kazutoshi Hirose, Shinya Takamaeda-Yamazaki, Masato Motomura, Mototsugu Hamada, Tadahiro Kuroda

A 3D-Stacked SRAM Using Inductive Coupling with Low-Voltage Transmitter and 12:1 SerDes Proceedings Article

In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-5, 2020.

BibTeX | Tags: Conference Papers

30.

Kazuki Onishi, Jaehoon Yu, Masanori Hashimoto

Memory Efficient Training using Lookup-Table-based Quantization for Neural Network Proceedings Article

In: IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS), pp. 251–255, IEEE 2020.

BibTeX | Tags: Conference Papers

31.

Taiga Ikeda, Kento Sakurada, Atsuyoshi Nakamura, Masato Motomura, Shinya Takamaeda-Yamazaki

Hardware/Algorithm Co-optimization for Fully-Parallelized Compact Decision Tree Ensembles on FPGAs Proceedings Article

In: International Symposium on Applied Reconfigurable Computing (ARC), Universidad de Castilla-La Mancha, Toledo, Spain, 2020.

BibTeX | Tags: Conference Papers

32.

Masanori Hashimoto, Xu Bai, Naoki Banno, Munehiro Tada, Toshitsugu Sakamoto, Jaehoon Yu, Ryutaro Doi, Yusuke Araki, Hidetoshi Onodera, Takashi Imagawa, Hiroyuki Ochi, Kazutoshi Wakabayashi, Yukio Mitsuyama, Tadahiko Sugibayashi

Via-Switch FPGA: 65nm CMOS Implementation and Architecture Extension for AI Applications Proceedings Article

In: International Solid-State Circuits Conference (ISSCC), pp. 502–503, 2020.

BibTeX | Tags: Conference Papers

33.

Kasho Yamamoto, Kota Ando, Normann Mertig, Takashi Takemoto, Masanao Yamaoka, Hiroshi Teramoto, Akira Sakai, Shinya Takamaeda-Yamazaki, Masato Motomura

STATICA: A 512-Spin 0.25M-Weight Full-Digital Annealing Processor with a Near-Memory All-Spin-Updates-at-Once Architecture for Combinatorial Optimization with Complete Spin-Spin Interactions Proceedings Article

In: International Solid-State Circuits Conference (ISSCC), pp. 138–139, 2020.

BibTeX | Tags: Conference Papers

34.

Thiem Van Chu, Kenji Kise, Kiyofumi Tanaka

Dependency-Driven Trace-Based Network-on-Chip Emulation on FPGAs Proceedings Article

In: ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), pp. 211–221, 2020.

BibTeX | Tags: Conference Papers

2019

35.

Prasoon Ambalathankandy, Yafei Ou, Jyotsna Kochiyil, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai, Masayuki Ikebe

Radiography Contrast Enhancement: Smoothed LHE Filter, a Practical Solution for Digital X-rays with Mach Band Proceedings Article

In: International Conference on Digital Image Computing: Techniques and Applications, University of Western Australia, Perth, Australia, 2019.

BibTeX | Tags: Conference Papers

36.

Yuki Hirayama, Tetsuya Asai, Masato Motomura, Shinya Takamaeda-Yamazaki

A Resource-Efficient Weight Sampling Method for Bayesian Neural Networks Accelerators Proceedings Article

In: International Symposium on Computing and Networking (CANDAR), 2019.

BibTeX | Tags: Conference Papers

37.

Toranosuke Tanio, Kouya Takeda, Jaehoon Yu, Masanori Hashimoto

Training Data Reduction using Support Vectors for Neural Networks Proceedings Article

In: Asia-Pacific Signal and Information Processing Association (APSIPA), 2019.

BibTeX | Tags: Conference Papers

38.

Shota Fukui, Jaehoon Yu, Masanori Hashimoto

Distilling Knowledge for Non-Neural Networks Proceedings Article

In: Asia-Pacific Signal and Information Processing Association (APSIPA), 2019.

BibTeX | Tags: Conference Papers

39.

Yuka Oba, Kota Ando, Tetsuya Asai, Masato Motomura, Shinya Takamaeda-Yamazaki

DeltaNet: Differential Binary Neural Network Proceedings Article

In: IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), New York, USA, 2019.

BibTeX | Tags: Conference Papers

40.

Tatsuya Kaneko, Masayuki Ikebe, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai

Hardware-Oriented Algorithm and Architecture for Generative Adversarial Networks Proceedings Article

In: RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing, Honolulu, USA, 2019.

BibTeX | Tags: Conference Papers

41.

Shunya Suzuki, Seunggoo Rim, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai

Experimental Demonstration of Physical Reservoir Computing with Nonlinear Electronic Devices Proceedings Article

In: RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing, Honolulu, USA, 2019.

BibTeX | Tags: Conference Papers

42.

Koyo Minamikawa, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai

FPGA-Based FORCE Learning Accelerator towards Real-Time Online Reservoir Computing Proceedings Article

In: RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing, Honolulu, USA, 2019.

BibTeX | Tags: Conference Papers

43.

Tatsuya Kaneko, Masayuki Ikebe, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai

Ternarized Backpropagation: A Hardware-Oriented Optimization Algorithm for Edge-Oriented AI Devices Proceedings Article

In: RIEC International Symposium on Brain Functions and Brain Computer, Sendai, Japan, 2019.

BibTeX | Tags: Conference Papers

44.

Seunggoo Rim, Shunya Suzuki, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai

Approach to Reservoir Computing with Schmitt Trigger Oscillator-Based Analog Neural Circuits Proceedings Article

In: Japan-Korea Joint Workshop on Complex Communication Sciences, Pyengonchang, Korea, 2019.

BibTeX | Tags: Conference Papers

2018

45.

Prasoon Ambalathankandy, Takeshi Shimada, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai, Masayuki Ikebe

Analysis of Smoothed LHE Methods for Processing Images with Optical Illusions Proceedings Article

In: IEEE International Conference on Visual Communications and Image Processing, Taichung, Taiwan, 2018.

BibTeX | Tags: Conference Papers

46.

Kota Ando, Kodai Ueyoshi, Yuka Oba, Kazutoshi Hirose, Ryota Uematsu, Takumi Kudo, Masayuki Ikebe, Tetsuya Asai, Shinya Takamaeda-Yamazaki, Masato Motomura

Dither NN: An Accurate Neural Network with Dithering for Low Bit-Precision Hardware Proceedings Article

In: International Conference on Field-Programmable Technology (FPT), Naha, Japan, 2018.

BibTeX | Tags: Conference Papers

47.

Akram Ben Ahmed, Daichi Fujiki, Hiroki Matsutani, Michihiro Koibuchi, Hideharu Amano

[Best Paper Nomination] AxNoC: Low-power Approximate Network-on-Chips using Critical-Path Isolation Proceedings Article

In: International Symposium on Networks-on-Chip (NOCS), 2018.

BibTeX | Tags: Awards, Conference Papers

48.

Tatsuya Kaneko, Masayuki Ikebe, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai

A Study on Ternary Back Propagation Algorithm for Embedded Egde-AI Processing Proceedings Article

In: Joint Workshop of UCL-ICN, NTT, UCL-Gatsby and AIBS: Analysis and Synthesis for Human/Artificial Cognition and Behaviour, Okinawa, Japan, 2018.

BibTeX | Tags: Conference Papers

49.

Takumi Kudo, Kodai Ueyoshi, Kota Ando, Kazutoshi Hirose, Ryota Uematsu, Yuka Oba, Masayuki Ikebe, Tetsuya Asai, Masato Motomura, Shinya Takamaeda-Yamazaki

Area and Energy Optimization for Bit-Serial Log-Quantized DNN Accelerator with Shared Accumulators Proceedings Article

In: IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, Hanoi, Vietnam, 2018.

BibTeX | Tags: Conference Papers

50.

Masanori Hashimoto, Yuki Nakazawa, Jaehoon Yu

Interconnect Delay Analysis for RRAM Crossbar Based FPGA Proceedings Article

In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 522-527, 2018.

BibTeX | Tags: Conference Papers

276 entries « 1 of 6 »