Show all

69 entries « 1 of 2 »

2023

1.

Junnosuke Suzuki, Jaehoon Yu, Mari Yasunaga, Ángel López García-Arias, Yasuyuki Okoshi, Shungo Kumazawa, Kota Ando, Kazushi Kawamura, Thiem Van Chu, Masato Motomura

Pianissimo: A Sub-mW Class DNN Accelerator With Progressively Adjustable Bit-Precision Journal Article

In: IEEE Access, 2023.

BibTeX | タグ: Journal Papers

2.

Daiki Okonogi, Satoru Jimbo, Kota Ando, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

A Fully-Parallel Annealing Algorithm with Autonomous Pinning Effect Control for Various Combinatorial Optimization Problems Journal Article

In: IEICE TRANSACTIONS on Information and Systems, vol. E106-D, no. 12, pp. 1969-1978, 2023, ISSN: 1745-1361.

Abstract | Links | BibTeX | タグ: Journal Papers

3.

Daiki Okonogi, Satoru Jimbo, Kota Ando, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

A Fully-Parallel Annealing Algorithm with Autonomous Pinning Effect Control for Various Combinatorial Optimization Problems Journal Article

In: IEICE Transactions on Information and Systems, 2023.

BibTeX | タグ: Journal Papers

4.

Bruno Hideki Fukushima-Kimura, Satoshi Handa, Katsuhiro Kamakura, Yoshinori Kamijima, Kazushi Kawamura, Akira Sakai

Mixing time and simulated annealing for the stochastic cellular automata Journal Article

In: Journal of Statistical Physics, vol. 190, no. 79, pp. 1-20, 2023.

Links | BibTeX | タグ: Journal Papers

5.

Bruno Hideki Fukushima-Kimura, Yoshinori Kamijima, Kazushi Kawamura, Akira Sakai

Stochastic optimization: Glauber dynamics versus stochastic cellular automata Journal Article

In: Transactions of the Institute of Systems, Control and Information Engineers, vol. 36, no. 1, pp. 9-16, 2023.

Links | BibTeX | タグ: Journal Papers

6.

Ángel López García-Arias, Yasuyuki Okoshi, Masanori Hashimoto, Masato Motomura, Jaehoon Yu

Recurrent Residual Networks Contain Stronger Lottery Tickets Journal Article

In: IEEE Access, vol. 11, pp. 16588-16604, 2023.

Links | BibTeX | タグ: Journal Papers

7.

Jiale Yan, Kota Ando, Jaehoon Yu, Masato Motomura

TT-MLP: Tensor Train Decomposition on Deep MLPs Journal Article

In: IEEE Access, vol. 11, pp. 10398-10411, 2023.

Links | BibTeX | タグ: Journal Papers

2022

8.

Satoru Jimbo, Daiki Okonogi, Kota Ando, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura

A Hybrid Integer Encoding Method for Obtaining High-quality Solutions of Quadratic Knapsack Problems on Solid-state Annealers Journal Article

In: IEICE Transactions on Information and Systems, 2022.

BibTeX | タグ: Journal Papers

9.

Masanori Hashimoto, X Bai, Naoki Banno, Munehiro Tada, Toshitsugu Sakamoto, Jaehoon Yu, Ryutaro Doi, Hidetoshi Onodera, Takashi Imagawa, Hiroyuki Ochi

Via-switch FPGA with transistor-free programmability enabling energy-efficient near-memory parallel computation Journal Article

In: Japanese Journal of Applied Physics, 2022.

Links | BibTeX | タグ: Journal Papers

2021

10.

Junnosuke Suzuki, Tomohiro Kaneko, Kota Ando, Kazutoshi Hirose, Kazushi Kawamura, Thiem Van Chu, Masato Motomura, Jaehoon Yu

ProgressiveNN: Achieving Computational Scalability with Dynamic Bit-Precision Adjustment by MSB-first Accumulative Computation Journal Article

In: International Journal of Networking and Computing, vol. 11, no. 2, pp. 338-353, 2021.

BibTeX | タグ: Journal Papers

11.

Shungo Kumazawa, Kazushi Kawamura, Thiem Van Chu, Masato Motomura, Jaehoon Yu

ExtraFerns: Fully Parallel Ensemble Learning Technique with Random Projection and Non-Greedy yet Minimal Memory Access Training Journal Article

In: International Journal of Networking and Computing, vol. 11, no. 2, pp. 215-230, 2021.

BibTeX | タグ: Journal Papers

12.

Kota Shiba, Tatsuo Omori, Kodai Ueyoshi, Kota Ando, Kazutoshi Hirose, Shinya Takamaeda-Yamazaki, Masato Motomura, Mototsugu Hamada, Tadahiro Kuroda

A 96-MB 3D-Stacked SRAM Using Inductive Coupling with 0.4-V Transmitter, Termination Scheme and 12:1 SerDes in 40-nm CMOS Journal Article

In: IEEE Transactions on Circuits and Systems I, vol. 68, no. 2, pp. 692-703, 2021.

BibTeX | タグ: Journal Papers

2020

13.

Kazutoshi Hirose, Shinya Takamaeda-Yamazaki, Jaehoon Yu, Masato Motomura

Selective Fine-Tuning on a Classifier Ensemble: Realizing Adaptive Neural Networks With a Diversified Multi-Exit Architecture Journal Article

In: IEEE Access, vol. 9, pp. 6179-6187, 2020.

BibTeX | タグ: Journal Papers

14.

Kasho Yamamoto, Kazushi Kawamura, Kota Ando, Normann Mertig, Takashi Takemoto, Masanao Yamaoka, Hiroshi Teramoto, Akira Sakai, Shinya Takamaeda-Yamazaki, Masato Motomura

STATICA: A 512-Spin 0.25M-Weight Annealing Processor With an All-Spin-Updates-at-Once Architecture for Combinatorial Optimization With Complete Spin-Spin Interactions Journal Article

In: IEEE Journal of Solid-State Circuits (JSSC), 2020.

BibTeX | タグ: Journal Papers

15.

Yuki Hirayama, Tetsuya Asai, Masato Motomura, Shinya Takamaeda-Yamazaki

A Hardware-Efficient Weight Sampling Circuit for Bayesian Neural Networks Journal Article

In: International Journal of Networking and Computing, vol. 10, 2020.

BibTeX | タグ: Journal Papers

16.

Tai-Yu Cheng, Yukata Masuda, Jun Chen, Jaehoon Yu, Masanori Hashimoto

Logarithm-Approximate Floating-Point Multiplier is Applicable to Power-Efficient Neural Network Training Journal Article

In: Integration, vol. 74, pp. 19–31, 2020.

BibTeX | タグ: Journal Papers

17.

本村 真人, 高前田 伸也, 植吉 晃大, 安藤 洸太, 廣瀨 一俊

深層ニューラルネットワーク向けプロセッサ技術の実例と展望 Journal Article

In: 電子情報通信学会和文論文誌C, vol. J103-C, no. 05, 2020.

BibTeX | タグ: Journal Papers

18.

Yafei Ou, Prasoon Ambalathankandy, Masayuki Ikebe, Shinya Takamaeda, Masato Motomura, Tetsuya Asai

Real-time Tone Mapping: A State of the Art Report Journal Article

In: IEEE Transactions on Circuits and Systems for Video Technology, 2020.

BibTeX | タグ: Journal Papers

2019

19.

Prasoon Ambalathankandy, Masayuki Ikebe, Takashi Yoshida, Takeshi Shimada, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai

An Adaptive Global and Local Tone Mapping Algorithm Implemented on FPGA Journal Article

In: IEEE Transactions on Circuits and Systems for Video Technology, vol. 29, 2019.

BibTeX | タグ: Journal Papers

20.

Kasho Yamamoto, Masayuki Ikebe, Tetsuya Asai, Masato Motomura, Shinya Takamaeda-Yamazaki

FPGA-Based Annealing Processor with Time-Division Multiplexing Journal Article

In: IEICE Transactions on Information and Systems, vol. E102, 2019.

BibTeX | タグ: Journal Papers

21.

Kota Ando, Kodai Ueyoshi, Yuka Oba, Kazutoshi Hirose, Ryota Uematsu, Takumi Kudo, Masayuki Ikebe, Tetsuya Asai, Shinya Takamaeda-Yamazaki, Masato Motomura

Dither NN: Hardware/Algorithm Co-Design for Accurate Quantized Neural Networks Journal Article

In: IEICE Transactions on Information and Systems, vol. E102, 2019.

BibTeX | タグ: Journal Papers

22.

Tatsuya Kaneko, Kentaro Orimo, Itaru Hida, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai

A Study on a Low Power Optimization Algorithm for An Edge-AI Device Journal Article

In: Nonlinear Theory and Its Applications, vol. E10-N, no. 4, 2019.

BibTeX | タグ: Journal Papers

23.

Tatsuya Kaneko, Masayuki Ikebe, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai

Hardware-Oriented Algorithm and Architecture for Generative Adversarial Networks Journal Article

In: Journal of Signal Processing, vol. 23, no. 4, pp. 151-154, 2019.

BibTeX | タグ: Journal Papers

24.

Ryutaro Doi, Jaehoon Yu, Masanori Hashimoto

Sneak Path Free Reconfiguration with Minimized Programming Steps for Via-switch Crossbar Based FPGA Journal Article

In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 1-1, 2019, ISSN: 1937-4151.

BibTeX | タグ: Journal Papers

25.

Thiem Van Chu, Kenji Kise

LEF: An Effective Routing Algorithm for Two-Dimensional Meshes Journal Article

In: IEICE Transactions on Information and Systems, vol. E102-D, no. 10, pp. 1925–1941, 2019.

BibTeX | タグ: Journal Papers

26.

Salita Sombatsiri, Seiya Shibata, Yuki Kobayashi, Hiroaki Inoue, Takashi Takenaka, Takeo Hosomi, Jaehoon Yu, Yoshinori Takeuchi

Parallelism-Flexible Convolution Core for Sparse Convolutional Neural Networks on FPGA Journal Article

In: vol. 12, pp. 22–37, 2019.

BibTeX | タグ: Journal Papers

27.

Kodai Ueyoshi, Kota Ando, Kazutoshi Hirose, Shinya Takamaeda-Yamazaki, Mototsugu Hamada, Tadahiro Kuroda, Masato Motomura

QUEST: Multi-Purpose Log-Quantized DNN Inference Engine Stacked on 96-MB 3-D SRAM Using Inductive Coupling Technology in 40-nm CMOS Journal Article

In: IEEE Journal of Solid-State Circuits, vol. 54, no. 1, pp. 186-196, 2019.

BibTeX | タグ: Journal Papers

2018

28.

Prasoon Ambalathankandy, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai, Masayuki Ikebe, Hotaka Kusano

Real-time HDTV to 4K and 8K-UHD Conversions Using Anti-Aliasing Based Super Resolution Algorithm on FPGA Journal Article

In: Microprocessors and Microsystems, vol. 60, 2018.

BibTeX | タグ: Journal Papers

29.

Kazutoshi Hirose, Ryota Uematsu, Kota Ando, Kodai Ueyoshi, Masayuki Ikebe, Tetsuya Asai, Masato Motomura, Shinya Takamaeda-Yamazaki

Quantization Error-Based Regularization for Hardware-Aware Neural Network Training Journal Article

In: Nonlinear Theory and Its Applications, vol. E9-N, no. 4, pp. 453-465, 2018.

BibTeX | タグ: Journal Papers

30.

Kota Ando, Kodai Ueyoshi, Kentaro Orimo, Haruyoshi Yonekawa, Shimpei Sato, Hiroki Nakahara, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Tetsuya Asai, Tadahiro Kuroda, Masato Motomura

BRein Memory: A Single-Chip Binary/Ternary Reconfigurable In-Memory Deep Neural Network Accelerator Achieving 1.4TOPS at 0.6W Journal Article

In: IEEE Journal of Solid-State Circuits, vol. 53, no. 4, pp. 983-994, 2018.

BibTeX | タグ: Journal Papers

31.

Aoi Tanibata, Alexandre Schmid, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai

Proto-Computing Architecture over A Digital Medium Aiming at Real-Time Video Processing Journal Article

In: Complexity, vol. 2018, pp. 3618621-1-11, 2018.

BibTeX | タグ: Journal Papers

32.

Hiroyuki Ochi, Kosei Yamaguchi, Tetsuaki Fujimoto, Junshi Hotate, Takashi Kishimoto, Toshiki Higashi, Takashi Imagawa, Ryutaro Doi, Munehiro Tada, Tadahiko Sugibayashi, Wataru Takahashi, Kazutoshi Wakabayashi, Hidetoshi Onodera, Yukio Mitsuyama, Jaehoon Yu, Masanori Hashimoto

Via-Switch FPGA: Highly Dense Mixed-Grained Reconfigurable Architecture with Overlay Via-Switch Crossbars Journal Article

In: no. 99, pp. 1–14, 2018, ((IF: 1.744, 被引用件数: 3)).

BibTeX | タグ: Journal Papers

33.

Tomoki Sugiura, Jaehoon Yu, Yoshinori Takeuchi

Phase Locking Value Calculator based on Hardware-oriented Mathematical Expression Journal Article

In: vol. 101, no. 12, pp. 2254–2261, 2018.

BibTeX | タグ: Journal Papers

34.

Koichi Mitsunari, Yoshinori Takeuchi, Masaharu Imai, Jaehoon Yu

Decomposed Vector Histograms of Oriented Gradients for Efficient Hardware Implementation Journal Article

In: IEICE_J_FECACS, vol. 101, no. 11, pp. 1766–1775, 2018, ((被引用件数: 1)).

BibTeX | タグ: Journal Papers

35.

Koichi Mitsunari, Jaehoon Yu, Takao Onoye, Masanori Hashimoto

Hardware Architecture for High-Speed Object Detection Using Decision Tree Ensemble Journal Article

In: IEICE_J_FECACS, vol. 101, no. 9, pp. 1298–1307, 2018, ((被引用件数: 1)).

BibTeX | タグ: Journal Papers

2017

36.

Takuto Tsuji, Masayuki Ikebe, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai

6-DoF Camera Position and Posture Estimation Based on Local Patches of Image Sequence Journal Article

In: Journal of Signal Processing, vol. 21, no. 4, pp. 191-194, 2017.

BibTeX | タグ: Journal Papers

37.

Kota Ando, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

A Multithreaded CGRA for Convolutional Neural Network Processing Journal Article

In: Circuits and Systems, vol. 8, no. 6, pp. 149-170, 2017.

BibTeX | タグ: Journal Papers

38.

Itaru Hida, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai

An Energy-Efficient Dynamic Branch Predictor with a Two-Clock-Cycle Naive Bayes Classifier for Pipelined RISC Microprocessors Journal Article

In: Nonlinear Theory and Its Applications, vol. E8-N, no. 3, pp. 235-245, 2017.

BibTeX | タグ: Journal Papers

39.

Itaru Hida, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai

A High Performance and Energy Efficient Microprocessor with a Novel Restricted Dynamically Reconfigurable Accelerator Journal Article

In: Circuits and Systems, vol. 8, no. 5, pp. 134-147, 2017.

BibTeX | タグ: Journal Papers

40.

Takao Marukame, Kodai Ueyoshi, Tetsuya Asai, Masato Motomura, Alexandre Schmid, Masamichi Suzuki, Yusuke Higashi, Yuichiro Mitani

Error Tolerance Analysis of Deep Learning Hardware Using Restricted Boltzmann Machine towards Low-Power Memory Implementation Journal Article

In: IEEE Transactions on Circuits and Systems II, vol. 64, no. 4, pp. 462-466, 2017.

BibTeX | タグ: Journal Papers

41.

Thiem Van Chu, Shimpei Sato, Kenji Kise

Fast and Cycle-Accurate Emulation of Large-Scale Networks-on-Chip Using a Single FPGA Journal Article

In: ACM Transactions on Reconfigurable Technology and Systems (TRETS), vol. 10, no. 4, pp. 27:1–27:27, 2017.

BibTeX | タグ: Journal Papers

42.

Yuuka Hirao, Yoshinori Takeuchi, Masaharu Imai, Jaehoon Yu

Deformable Part Model Based Arrhythmia Detection Using Time Domain Features Journal Article

In: IEICE_J_FECACS, vol. 100, no. 11, pp. 2221–2229, 2017.

BibTeX | タグ: Journal Papers

43.

Tomoki Sugiura, Masaharu Imai, Jaehoon Yu, Yoshinori Takeuchi

A Low-Energy Application Specific Instruction-Set Processor towards a Low-Computational Lossless Compression Method for Stimuli Position Data of Artificial Vision Systems Journal Article

In: vol. 25, pp. 210–219, 2017, ((IF: 0.77, 被引用件数: 2)).

BibTeX | タグ: Journal Papers

2016

44.

Kasho Yamamoto, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

FPGA-Based Stream Processing for Frequent Itemset Mining with Incremental Multiple Hashes Journal Article

In: Circuits and Systems, vol. 7, no. 10, pp. 3299-3309, 2016.

BibTeX | タグ: Journal Papers

45.

Miho Ushida, Alexandre Schmid, Tetsuya Asai, Kazuyoshi Ishimura, Masato Motomura

Motion Vector Estimation of Textureless Objects Exploiting Reaction-Diffusion Cellular Automata Journal Article

In: International Journal of Unconventional Computing, vol. 12, no. 2-3, pp. 169-187, 2016.

BibTeX | タグ: Journal Papers

46.

Kodai Ueyoshi, Takao Marukame, Tetsuya Asai, Masato Motomura, Alexandre Schmid

FPGA Implementation of A Scalable and Highly Parallel Architecture for Restricted Boltzmann Machines Journal Article

In: Circuits and Systems, vol. 7, no. 9, pp. 2132-2141, 2016.

BibTeX | タグ: Journal Papers

47.

Kodai Ueyoshi, Takao Marukame, Tetsuya Asai, Masato Motomura, Alexandre Schmid

Robustness of Hardware-Oriented Restricted Boltzmann Machines in Deep Belief Networks for Reliable Processing Journal Article

In: Nonlinear Theory and Its Applications, vol. E7-N, no. 3, pp. 395-406, 2016.

BibTeX | タグ: Journal Papers

48.

Kazuyoshi Ishimura, Alexandre Schmid, Tetsuya Asai, Masato Motomura

Stochastic Resonance Induced by Internal Noise in A Unidirectional Network of Excitable FitzHugh-Nagumo Neurons Journal Article

In: Nonlinear Theory and Its Applications, vol. 7, no. 2, pp. 164-175, 2016.

BibTeX | タグ: Journal Papers

49.

Masayuki Ikebe, Daisuke Uchida, Yasuhiro Take, Makito Someya, Satoshi Chikuda, Kento Matsuyama, Tetsuya Asai, Tadahiro Kuroda, Masato Motomura

3D Stacked Imager Featuring Inductive Coupling Channels for High Speed/Low-Noise Image Transfer Journal Article

In: ITE Transactions on Media Technology and Applications, vol. 4, no. 2, pp. 142-148, 2016.

BibTeX | タグ: Journal Papers

2015

50.

Kamal El-Sankary, Tetsuya Asai, Tadahiro Kuroda, Masato Motomura

Crosstalk Rejection in 3D-Stacked Inter-Chip Communication with Blind Source Separation Journal Article

In: IEEE Transactions on Circuits and Systems II, vol. 62, no. 8, pp. 726-730, 2015.

BibTeX | タグ: Journal Papers

69 entries « 1 of 2 »