468 entries « 6 of 10 »

2016

251.

Koichi Mitsunari, Jaehoon Yu

Influence of Numerical Precision on Machine Learning and Embedded Systems Proceedings Article

In: C_SISA, pp. 164–169, 2016, ((被引用件数: 4)).

BibTeX | タグ: Conference Papers

252.

Koichi Mitsunari, Jaehoon Yu, Yoshinori Takeuchi, Masaharu Imai

Object Tracking based on Path Similarity of Boosted Decision Trees Proceedings Article

In: pp. 563–566, 2016.

BibTeX | タグ: Conference Papers

253.

武内良典, 劉載勲, 今井正治, others

心疾患発症を検出するための就寝時心電計測システムの提案 Proceedings Article

In: 研究報告システム・アーキテクチャ (ARC), pp. 1–6, 2016.

BibTeX | タグ: Conference Papers

254.

平尾優香, 劉載勲, 武内良典, 今井正治

ECG の可変形状モデルに基づく不整脈検出アルゴリズム (スマートインフォメディアシステム) Proceedings Article

In: 電子情報通信学会技術研究報告= IEICE technical report: 信学技報, pp. 25–30, 2016.

BibTeX | タグ: Conference Papers

255.

川部純, 武内良典, 劉載勲, 今井正治, others

電源ノイズ削減のためのマルチコアプロセッサ向けクロックゲーティング機構の提案 Proceedings Article

In: DA シンポジウム 2016 論文集, pp. 151–156, 2016.

BibTeX | タグ: Conference Papers

2015

256.

Miho Ushida, Kazuyoshi Ishimura, Alexandre Schmid, Tetsuya Asai, Masato Motomura

Motion Vector Estimation of Textureless Objects Exploiting Reaction-Diffusion Cellular Automata Proceedings Article

In: International Symposium on Nonlinear Theory and its Applications, Hong Kong, China, 2015.

BibTeX | タグ: Conference Papers

257.

Kamal El-Sankary, Tetsuya Asai, Tadahiro Kuroda, Masato Motomura

Crosstalk Rejection in 3D-Stacked Inter-Chip Communication with Blind Source Separation Journal Article

In: IEEE Transactions on Circuits and Systems II, vol. 62, no. 8, pp. 726-730, 2015.

BibTeX | タグ: Journal Papers

258.

Masayuki Ikebe, Daisuke Uchida, Yasuhiro Take, Makito Someya, Satoshi Chikuda, Kento Matsuyama, Tetsuya Asai, Tadahiro Kuroda, Masato Motomura

Image Sensor/Digital Logic 3D Stacked Module Featuring Inductive Coupling Channels for High Speed/Low-Noise Image Transfer Proceedings Article

In: Symposia on VLSI Technology and Circuits, Kyoto, Japan, 2015.

BibTeX | タグ: Conference Papers

259.

Kazuyoshi Ishimura, Katsuro Komuro, Alexandre Schmid, Tetsuya Asai, Masato Motomura

FPGA Implementation of Hardware-Oriented Reaction-Diffusion Cellular Automata Models Journal Article

In: Nonlinear Theory and Its Applications, vol. 6, no. 2, pp. 252-262, 2015.

BibTeX | タグ: Journal Papers

260.

Li-Chung Hsu, Masato Motomura, Yasuhiro Take, Tadahiro Kuroda

Through Chip Interface Based Three-Dimensional FPGA Architecture Exploration Journal Article

In: IEICE Transactions on Electronics, vol. E98-C, no. 4, pp. 288-297, 2015.

BibTeX | タグ: Journal Papers

261.

Kasho Yamamoto, Eric S Fukuda, Tetsuya Asai, Masato Motomura

An Accelerator for Frequent Itemset Mining from Data Stream with Parallel Item Tree Proceedings Article

In: Workshop on Synthesis And System Integration of Mixed Information Technologies, Yilan, Taiwan, 2015.

BibTeX | タグ: Conference Papers

262.

Eric S Fukuda, Hiroaki Inoue, Takashi Takenaka, Dahoo Kim, Tsunaki Sadahisa, Tetsuya Asai, Masato Motomura

Enhancing Memcached by Caching its Data and Functionalities at Network Interface Journal Article

In: IPSJ Journal, vol. 56, no. 3, pp. 143-152, 2015.

BibTeX | タグ: Journal Papers

263.

Toshiyuki Itou, Masafumi Mori, Masayuki Ikebe, Tetsuya Asai, Tadahiro Kuroda, Masato Motomura

A New Architecture for Feature Extraction to Perform Machine Learning by Using Motion Vectors and Its Implementation in An FPGA Proceedings Article

In: RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing, Kuala Lumpur, Malaysia, 2015.

BibTeX | タグ: Conference Papers

264.

Kodai Ueyoshi, Tetsuya Asai, Masato Motomura

Scalable and Highly-Parallel Architecture for Restricted Boltzmann Machines Proceedings Article

In: RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing, Kuala Lumpur, Malaysia, 2015.

BibTeX | タグ: Conference Papers

265.

Miho Ushida, Kazuyoshi Ishimura, Tetsuya Asai, Masato Motomura

A Reaction-Diffusion Algorithm for Texture Generation towards Motion-Vector Estimation of Textureless-Objects Proceedings Article

In: RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing, Kuala Lumpur, Malaysia, 2015.

BibTeX | タグ: Conference Papers

266.

Eric Aliwarga, Jaehoon Yu, Masahide Hatanaka, Takao Onoye

Design of Generic Hardware for Soft Cascade-Based Linear SVM Classification Proceedings Article

In: pp. 257–262, 2015.

BibTeX | タグ: Conference Papers

267.

Tomoki Sugiura, Jaehoon Yu, Yoshinori Takeuchi, Masaharu Imai

A Low-Energy ASIP with Flexible Exponential Golomb Codec for Lossless Data Compression toward Artificial Vision Systems Proceedings Article

In: pp. 97–100, 2015, ((被引用件数: 4)).

BibTeX | タグ: Conference Papers

268.

武内良典, 劉載勲, 山中達哉, 関根正樹, 今井正治, others

就寝時心電取得のための無意識生体電位計測システムの提案 Proceedings Article

In: 研究報告組込みシステム (EMB), pp. 1–6, 2015.

BibTeX | タグ: Conference Papers

2014

269.

Eric S Fukuda, Hiroaki Inoue, Takashi Takenaka, Dahoo Kim, Tsunaki Sadahisa, Tetsuya Asai, Masato Motomura

Achieving Higher Performance of Memcached by Caching at Network Interface Proceedings Article

In: International Conference on Field Programmable Technology (FPT), Shanghai, China, 2014.

BibTeX | タグ: Conference Papers

270.

Dahoo Kim, Itaru Hida, Eric S Fukuda, Tetsuya Asai, Masato Motomura

A Study of Transparent On-Chip Instruction Cache for NV Microcontrollers Proceedings Article

In: International Conference on Advances in Circuits, Electronics and Micro-electronics, Lisbon, Portugal, 2014.

BibTeX | タグ: Conference Papers

271.

Itaru Hida, Dahoo Kim, Tetsuya Asai, Masato Motomura

A 4.5 to 13 Times Energy-Efficient Embedded Microprocessor with Mainly-Static/Partially-Dynamic Reconfigurable Array Accelerator Proceedings Article

In: Asian Solid-State Circuits Conference, KaoHsiung, Taiwan, 2014.

BibTeX | タグ: Conference Papers

272.

Dahoo Kim, Eric S Fukuda, Tsunaki Sadahisa, Tetsuya Asai, Masato Motomura

Hardware Architecture for Accelerating Key-Value Retrieval Implemented on FPGA Proceedings Article

In: Japan-Korea Joint Workshop on Complex Communication Sciences, Busan, Korea, 2014.

BibTeX | タグ: Conference Papers

273.

Dahoo Kim, Itaru Hida, Eric S Fukuda, Tetsuya Asai, Masato Motomura

Reducing Power and Energy Consumption of Nonvolatile Microcontrollers with Transparent On-Chip Instruction Cache Journal Article

In: Circuits and Systems, vol. 5, no. 11, pp. 253-264, 2014.

BibTeX | タグ: Journal Papers

274.

Gonzalez-Carabarin Lizeth, Tetsuya Asai, Masato Motomura

Application of Nonlinear Systems for Designing Low-Power Logic Gates Based on Stochastic Resonance Journal Article

In: Nonlinear Theory and Its Applications, vol. 5, no. 4, pp. 445-455, 2014.

BibTeX | タグ: Journal Papers

275.

Kazuyoshi Ishimura, Katsuro Komuro, Alexandre Schmid, Tetsuya Asai, Masato Motomura

Image Steganography Based on Reaction Diffusion Models toward Hardware Implementation Journal Article

In: Nonlinear Theory and Its Applications, vol. 5, no. 4, pp. 456-465, 2014.

BibTeX | タグ: Journal Papers

276.

Gonzalez-Carabarin Lizeth, Tetsuya Asai, Masato Motomura

Dual-Rail Asynchronous Pipeline Based on Stochastic Resonance Logic Gates Proceedings Article

In: International Symposium on Nonlinear Theory and its Applications, Luzern, Switzerland, 2014.

BibTeX | タグ: Conference Papers

277.

Kazuyoshi Ishimura, Katsuro Komuro, Alexandre Schmid, Tetsuya Asai, Masato Motomura

Stochastic Resonance in A Unidirectional Network of Nonlinear Oscillators Driven by Internal Noise Proceedings Article

In: International Symposium on Nonlinear Theory and its Applications, Luzern, Switzerland, 2014.

BibTeX | タグ: Conference Papers

278.

Eric S Fukuda, Hiroaki Inoue, Takashi Takenaka, Dahoo Kim, Tsunaki Sadahisa, Tetsuya Asai, Masato Motomura

Caching Memcached at Reconfigurable Network Interface Proceedings Article

In: International Conference on Field Programmable Logic and Applications (FPL), Munich, Germany, 2014.

BibTeX | タグ: Conference Papers

279.

Masafumi Mori, Toshiyuki Itou, Masayuki Ikebe, Tetsuya Asai, Tadahiro Kuroda, Masato Motomura

FPGA-Based Design for Motion-Vector Estimation Exploiting High-Speed Imaging and Its Application to Motion Classification with Neural Networks Journal Article

In: Journal of Signal Processing, vol. 18, no. 4, pp. 165-168, 2014.

BibTeX | タグ: Journal Papers

280.

Gonzalez-Carabarin Lizeth, Tetsuya Asai, Masato Motomura

Low-Power Asynchronous Digital Pipeline Based on Mismatch-Tolerant Logic Gates Journal Article

In: IEICE Electronics Express, vol. 11, no. 15, pp. 20140632/1-9, 2014.

BibTeX | タグ: Journal Papers

281.

Masafumi Mori, Toshiyuki Itou, Masayuki Ikebe, Tetsuya Asai, Tadahiro Kuroda, Masato Motomura

FPGA-Based Design for Motion-Vector Estimation Exploiting High-Speed Imaging and Its Application to Machine Learning Proceedings Article

In: RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing, Honolulu, U.S.A., 2014.

BibTeX | タグ: Conference Papers

282.

Yuki Sanada, Katsuki Ohata, Tetsuro Ogaki, Kento Matsuyama, Takanori Ohira, Satoshi Chikuda, Masaki Igarashi, Tadahiro Kuroda, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

FPGA Implementation of A Memory-Efficient Stereo Vision Algorithm Based on 1-D Guided Filtering Proceedings Article

In: International Conference on Circuits, Systems, and Control, Interlaken, Switzerland, 2014.

BibTeX | タグ: Conference Papers

283.

Ryusuke Miyamoto, Jaehoon Yu, Takao Onoye

Normalized Channel Features for Accurate Pedestrian Detection Proceedings Article

In: International Symposium on Communications, Control and Signal Processing, pp. 582–585, 2014, ((被引用件数: 4)).

BibTeX | タグ: Conference Papers

284.

Tomoki Sugiura, Shoko Nakatsuka, Jaehoon Yu, Yoshinori Takeuchi, Masaharu Imai

An Efficient Data Compression Method for Artificial Vision Systems and Its Low Energy Implementation Using ASIP Technology Proceedings Article

In: IEEE_C_BCAS, pp. 81–84, 2014.

BibTeX | タグ: Conference Papers

285.

山下智博, 劉載勲, 武内良典, 今井正治

圧縮センシングに基づく超解像処理の高速化 (信号処理) Proceedings Article

In: 電子情報通信学会技術研究報告= IEICE technical report: 信学技報, pp. 75–80, 2014.

BibTeX | タグ: Conference Papers

286.

竹内一貴, 劉載勲, 宮本龍介, 尾上孝雄

ソフトカスケードを用いた SVM 識別器の専用ハードウェア実装 (スマートインフォメディアシステム) Proceedings Article

In: 電子情報通信学会技術研究報告= IEICE technical report: 信学技報, pp. 17–22, 2014.

BibTeX | タグ: Conference Papers

287.

岩崎裕也, 劉載勲, 宮本龍介, 尾上孝雄

回転変化に対する耐性を持つ画像認識のための特徴抽出手法 (スマートインフォメディアシステム) Proceedings Article

In: 電子情報通信学会技術研究報告= IEICE technical report: 信学技報, pp. 63–68, 2014.

BibTeX | タグ: Conference Papers

288.

由井暁大, 劉載勲, 武内良典, 今井正治, others

コンパイラ生成のための ASIP 必要命令セット判定手法 Proceedings Article

In: DA シンポジウム 論文集, pp. 151–156, 2014.

BibTeX | タグ: Conference Papers

2013

289.

Takeshi Hirao, Dahoo Kim, Itaru Hida, Tetsuya Asai, Masato Motomura

A Restricted Dynamically Reconfigurable Architecture for Low Power Processors Proceedings Article

In: International Conference on ReConFigurable Computing and FPGAs, Cancun, Mexico, 2013.

BibTeX | タグ: Conference Papers

290.

Katsuki Ohata, Yuki Sanada, Tetsuro Ogaki, Kento Matsuyama, Takanori Ohira, Satoshi Chikuda, Masaki Igarashi, Masayuki Ikebe, Tetsuya Asai, Masato Motomura, Tadahiro Kuroda

Hardware-Oriented Stereo Vision Algorithm Based on 1-D Guided Filtering and Its FPGA Implementation Proceedings Article

In: IEEE International Conference on Electronics, Circuits, and Systems, Abu Dhabi, UAE, 2013.

BibTeX | タグ: Conference Papers

291.

Kazuyoshi Ishimura, Tetsuya Asai, Masato Motomura

Chaotic Resonance in Forced Chua's Oscillators Journal Article

In: Journal of Signal Processing, vol. 17, no. 6, pp. 231-238, 2013.

BibTeX | タグ: Journal Papers

292.

Eric S Fukuda, Hideyuki Kawashima, Hiroaki Inoue, Tetsuya Asai, Masato Motomura

C-Based Design of Window Join for Dynamically Reconfigurable Hardware Journal Article

In: Journal of Computer Science and Engineering, vol. 20, no. 2, pp. 1-9, 2013.

BibTeX | タグ: Journal Papers

293.

Eric S Fukuda, Takashi Takenaka, Hiroaki Inoue, Hideyuki Kawashima, Tetsuya Asai, Masato Motomura

High Level Synthesis with Stream Query to C Parser: Eliminating Hardware Development Difficulties for Software Developers Proceedings Article

In: Workshop on Synthesis And System Integration of Mixed Information Technologies, Sapporo, Japan, 2013.

BibTeX | タグ: Conference Papers

294.

Takeshi Hirao, Dahoo Kim, Itaru Hida, Tetsuya Asai, Masato Motomura

A Restricted Dynamically Reconfigurable Architecture for Low Power Processors Proceedings Article

In: Workshop on Synthesis And System Integration of Mixed Information Technologies, Sapporo, Japan, 2013.

BibTeX | タグ: Conference Papers

295.

Satoshi Chikuda, Takanori Ohira, Yuki Sanada, Masaki Igarashi, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

FPGA Implementation of 60-FPS QVGA-to-VGA Single-Image Super Resolution Proceedings Article

In: International Conference on Solid State Devices and Materials, Fukuoka, Japan, 2013.

BibTeX | タグ: Conference Papers

296.

Gonzalez-Carabarin Lizeth, Tetsuya Asai, Masato Motomura

Asynchronous Digital Circuit Design Using Noise-Driven Stochastic Gates Proceedings Article

In: International Symposium on Nonlinear Theory and its Applications, Santa Fe, U.S.A., 2013.

BibTeX | タグ: Conference Papers

297.

Kazuyoshi Ishimura, Alexandre Schmid, Tetsuya Asai, Masato Motomura

Image steganography based on hardware-oriented reaction-diffusion models Proceedings Article

In: International Symposium on Nonlinear Theory and its Applications, Santa Fe, U.S.A., 2013.

BibTeX | タグ: Conference Papers

298.

Yuki Sanada, Takanori Ohira, Satoshi Chikuda, Masaki Igarashi, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

FPGA Implementation of Single-Image Super Resolution Based on Frame-Bufferless Box Filtering Journal Article

In: Journal of Signal Processing, vol. 17, no. 4, pp. 111-114, 2013.

BibTeX | タグ: Journal Papers

299.

Gonzalez-Carabarin Lizeth, Tetsuya Asai, Masato Motomura

Towards Asynchronous Digital Circuit Design Based on Stochastic Resonance Proceedings Article

In: International Conference on Nanoenergy, Perugia, Italy, 2013.

BibTeX | タグ: Conference Papers

300.

Kazuyoshi Ishimura, Alexandre Schmid, Tetsuya Asai, Masato Motomura

Image Steganography on Digital Reaction-Diffusion Processor Proceedings Article

In: Nonlinear Dynamics of Electronic Systems, Bari, Italy, 2013.

BibTeX | タグ: Conference Papers

468 entries « 6 of 10 »