Show all

276 entries « 2 of 6 »

2018

51.

Taro Fujii, Takao Toi, Teruhito Tanaka, Katsumi Togawa, Toshiro Kitaoka, Kengo Nishino, Noritsugu Nakamura, Hiroki Nakahara, Masato Motomura

New Generation Dynamically Reconfigurable Processor Technology for Accelerating Embedded AI Applications Proceedings Article

In: Symposia on VLSI Technology and Circuits, Hawaii, USA, 2018.

BibTeX | タグ: Conference Papers

52.

Takeshi Shimada, Masayuki Ikebe, Prasoon Ambalathankandy, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai

Sparse Disparity Estimation Using Global Phase Only Correlation for Stereo Matching Acceleration Proceedings Article

In: IEEE International Conference on Acoustics, Speech and Signal Processing, Alberta, Canada, 2018.

BibTeX | タグ: Conference Papers

53.

Ryota Uematsu, Kota Ando, Kodai Ueyoshi, Kazutoshi Hirose, Masayuki Ikebe, Tetsuya Asai, Shinya Takamaeda-Yamazaki, Masato Motomura

Exploring CNN Accelerator Design Space on a Dynamically Reconfigurable Hardware Platform Proceedings Article

In: Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI), Matsue, Japan, 2018.

BibTeX | タグ: Conference Papers

54.

Naoto Iwamaru, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai

A Novel Iris-Center Detection Algorithm towards Gaze Estimation Targeting Molecular Cellular Automata Proceedings Article

In: International Workshop on Molecular Architectonics, Osaka, Japan, 2018.

BibTeX | タグ: Conference Papers

55.

Kodai Ueyoshi, Kota Ando, Kazutoshi Hirose, Shinya Takamaeda-Yamazaki, Junichiro Kadomoto, Tomoki Miyata, Mototsugu Hamada, Tadahiro Kuroda, Masato Motomura

QUEST: A 7.49-TOPS Multi-Purpose Log-Quantized DNN Inference Engine Stacked on 96MB 3D SRAM using Inductive-Coupling Technology in 40nm CMOS Proceedings Article

In: International Solid-State Circuits Conference (ISSCC 2018), San Francisco, US, 2018.

BibTeX | タグ: Conference Papers

56.

土井龍太郎, 劉載勲, 橋本昌宜, others

ビアスイッチ FPGA 再構成時のスニークパス問題を回避するプログラミング順決定手法 Proceedings Article

In: DA シンポジウム 2018 論文集, pp. 3–8, 2018.

BibTeX | タグ: Conference Papers

57.

Koichi Mitsunari, Jaehoon Yu, Masanori Hashimoto

Hardware Architecture for Fast General Object Detection Using Aggregated Channel Features Proceedings Article

In: pp. 55-58, 2018.

BibTeX | タグ: Conference Papers

58.

Ryutaro Doi, Jaehoon Yu, Masanori Hashimoto

Sneak Path Free Reconfiguration of Via-switch Crossbars Based FPGA Proceedings Article

In: pp. 68:1–68:8, 2018.

BibTeX | タグ: Conference Papers

59.

Kenshi Ito, Jaehoon Yu, Masanori Hashimoto

Adapting Soft Cascade to MAC Operations of Convolutional Neural Networks Proceedings Article

In: International Symposium on Multimedia and Communication Technology, pp. 101–104, 2018.

BibTeX | タグ: Conference Papers

60.

Masanori Hashimoto, Yuki Nakazawa, Ryutaro Doi, Jaehoon Yu

Interconnect Delay Analysis for RRAM Crossbar Based FPGA Proceedings Article

In: pp. 522–527, 2018.

BibTeX | タグ: Conference Papers

61.

中澤祐希, 土井龍太郎, 劉載勲, 橋本昌宜

ビアスイッチ FPGA 向け配線解析手法の検討 (VLSI 設計技術) Proceedings Article

In: 電子情報通信学会技術研究報告= IEICE technical report: 信学技報, pp. 187–192, 2018.

BibTeX | タグ: Conference Papers

2017

62.

Shinya Takamaeda-Yamazaki, Kodai Ueyoshi, Kota Ando, Ryota Uematsu, Kazutoshi Hirose, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

Accelerating Deep Learning by Binarized Hardware Proceedings Article

In: Asia-Pacific Signal and Information Processing Association Annual Summit and Conference (APSIPA ASC), Kuala Lumpur, Malaysia, 2017.

BibTeX | タグ: Conference Papers

63.

Kazutoshi Hirose, Kota Ando, Kodai Ueyoshi, Masayuki Ikebe, Tetsuya Asai, Masato Motomura, Shinya Takamaeda-Yamazaki

Quantization Error-based Regularization in Neural Networks Proceedings Article

In: SGAI International Conference on Artificial Intelligence (SGAI), Cambridge, England, 2017.

BibTeX | タグ: Conference Papers

64.

Itaru Hida, Kodai Ueyoshi, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai

Sign-Invariant Unsupervised Learning Facilitates Weighted-Sum Computation in Analog Neural-Network Devices Proceedings Article

In: International Symposium on Nonlinear Theory and Its Applications, Cancun, Mexico, 2017.

BibTeX | タグ: Conference Papers

65.

Kazutoshi Hirose, Ryota Uematsu, Kota Ando, Kentaro Orimo, Kodai Ueyoshi, Masayuki Ikebe, Tetsuya Asai, Shinya Takamaeda-Yamazaki, Masato Motomura

Logarithmic Compression for Memory Footprint Reduction in Neural Network Training Proceedings Article

In: International Workshop on Computer Systems and Architectures (CSA), Aomori, Japan, 2017.

BibTeX | タグ: Conference Papers

66.

Kazutoshi Hirose, Ryota Uematsu, Kota Ando, Kodai Ueyoshi, Masayuki Ikebe, Tetsuya Asai, Masato Motomura, Shinya Takamaeda-Yamazaki

A Regularization Approach for Quantized Neural Networks Proceedings Article

In: International Workshop on Highly Efficient Neural Networks Design (HENND), Seoul, Korea, 2017.

BibTeX | タグ: Conference Papers

67.

Aoi Tanibata, Alexandre Schmid, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai

FPGA Implementation of Edge-Guided Pattern Generation for Motion-Vector Estimation of Textureless Objects (Demo Night) Proceedings Article

In: International Conference on Field-Programmable Logic and Applications (FPL), Ghent, Belgium, 2017.

BibTeX | タグ: Conference Papers

68.

Kota Ando, Kodai Ueyoshi, Kazutoshi Hirose, Kentaro Orimo, Haruyoshi Yonekawa, Shimpei Sato, Hiroki Nakahara, Masayuki Ikebe, Shinya Takamaeda-Yamazaki, Tetsuya Asai, Tadahiro Kuroda, Masato Motomura

In-Memory Area-Efficient Signal Streaming Processor Design for Binary Neural Networks Proceedings Article

In: IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), Boston, USA, 2017.

BibTeX | タグ: Conference Papers

69.

Kodai Ueyoshi, Masayuki Ikebe, Tetsuya Asai, Shinya Takamaeda-Yamazaki, Masato Motomura

Hardware Accelerator Design for Convolutional Neural Networks with Low Bit Precision Proceedings Article

In: GI-CoRE GSQ, GSB, & IGM Joint Symposium - Quantum, Informatics, Biology, & Medicine -, Sapporo, Japan, 2017.

BibTeX | タグ: Conference Papers

70.

Itaru Hida, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai

A Versatile and Energy-Efficient Reconfigurable Accelerator for Embedded Microprocessors Proceedings Article

In: GI-CoRE GSQ, GSB, & IGM Joint Symposium -Quantum, Informatics, Biology, & Medicine -, Sapporo, Japan, 2017.

BibTeX | タグ: Conference Papers

71.

Kasho Yamamoto, Masayuki Ikebe, Tetsuya Asai, Masato Motomura, Shinya Takamaeda-Yamazaki

Time-Division Multiplexing Proceedings Article

In: GI-CoRE GSQ, GSB, & IGM Joint Symposium - Quantum, Informatics, Biology, & Medicine -, Sapporo, Japan, 2017.

BibTeX | タグ: Conference Papers

72.

Kota Ando, Kodai Ueyoshi, Kentaro Orimo, Haruyoshi Yonekawa, Shimpei Sato, Hiroki Nakahara, Masayuki Ikebe, Tetsuya Asai, Shinya Takamaeda-Yamazaki, Tadahiro Kuroda, Masato Motomura

BRein Memory: A 13-Layer 4.2 K Neuron/0.8 M Synapse Binary/Ternary Reconfigurable In-Memory Deep Neural Network Accelerator in 65 nm CMOS Proceedings Article

In: Symposia on VLSI Technology and Circuits, Kyoto, Japan, 2017.

BibTeX | タグ: Conference Papers

73.

Kasho Yamamoto, Weiqiang Huang, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

A Time-Division Multiplexing Ising Machine on FPGAs Proceedings Article

In: International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies (HEART), Bochum, Germany, 2017.

BibTeX | タグ: Conference Papers

74.

Kodai Ueyoshi, Kota Ando, Kentaro Orimo, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

Exploring Optimized Accelerator Design for Binarized Convolutional Neural Networks Proceedings Article

In: International Joint Conference on Neural Networks, Alaska, USA, 2017.

BibTeX | タグ: Conference Papers

75.

Kodai Ueyoshi, Takao Marukame, Tetsuya Asai, Masato Motomura, Alexandre Schmid

Feature Extraction System Using Restricted Boltzmann Machines on FPGA Proceedings Article

In: IEEE International Symposium on Circuits & Systems, Baltimore, USA, 2017.

BibTeX | タグ: Conference Papers

76.

Kasho Yamamoto, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

A Scalable Ising Model Implementation on An FPGA Proceedings Article

In: COOL Chips, Yokohama, Japan, 2017.

BibTeX | タグ: Conference Papers

77.

Tomoya Fujii, Shimpei Sato, Hiroki Nakahara, Masato Motomura

An FPGA Realization of a Deep Convolutional Neural Network Using A Threshold Neuron Pruning Proceedings Article

In: International Symposium on Applied Reconfigurable Computing (ARC), Delft, Netherlands, 2017.

BibTeX | タグ: Conference Papers

78.

Yuhan Fu, Masayuki Ikebe, Takeshi Shimada, Masato Motomura, Tetsuya Asai

Low latency divider using ensemble of moving average curves Proceedings Article

In: International Symposium on Quality Electronic Design (ISQED), Santa Clara, USA, 2017.

BibTeX | タグ: Conference Papers

79.

Hiroki Nakahara, Haruyoshi Yonekawa, Hisashi Iwamoto, Masato Motomura

A Batch Normalization Free Binarized Convolutional Deep Neural Network on an FPGA Proceedings Article

In: International Symposium on Field-Programmable Gate Array (FPGA), California, USA, 2017.

BibTeX | タグ: Conference Papers

80.

Kota Ando, Kodai Ueyoshi, Kentaro Orimo, Masayuki Ikebe, Shinya Takamaeda-Yamazaki, Tetsuya Asai, Masato Motomura

Throughput Analysis of A Data-Flow Reconfigurable Array Architecture for Convolutional Neural Networks Proceedings Article

In: RIEC International Symposium on Brain Functions and Brain Computer, Sendai, Japan, 2017.

BibTeX | タグ: Conference Papers

81.

Takuto Tsuji, Masayuki Ikebe, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai

6-DoF Camera-Position and Posture Estimation Based on Local Patches of Image Sequence Proceedings Article

In: RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing, Guam, USA, 2017.

BibTeX | タグ: Conference Papers

82.

Susumu Mashimo, Thiem Van Chu, Kenji Kise

High-Performance Hardware Merge Sorter Proceedings Article

In: IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 1–8, 2017.

BibTeX | タグ: Conference Papers

83.

Tomoki Sugiura, Jaehoon Yu, Yoshinori Takeuchi

Hardware-Oriented Algorithm for Phase Synchronization Analysis of Biomedical Signals Proceedings Article

In: IEEE_C_BCAS, pp. 1–4, 2017, ((被引用件数: 1)).

BibTeX | タグ: Conference Papers

2016

84.

Hiroki Nakahara, Haruyoshi Yonekawa, Tsutomu Sasao, Hisashi Iwamoto, Masato Motomura

A Memory-Based Realization of A Binarized Deep Convolutional Neural Network Proceedings Article

In: International Conference on Field-Programmable Technology (FPT), Xi'an, China, 2016.

BibTeX | タグ: Conference Papers

85.

Hotaka Kusano, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

An FPGA-Optimized Architecture of Anti-Aliasing Based Super Resolution for Real-time HDTV to 4K- and 8K-UHD Conversions Proceedings Article

In: International Conference on Reconfigurable Computing and FPGAs, Cancun, Mexico, 2016.

BibTeX | タグ: Conference Papers

86.

Aoi Tanibata, Miho Ushida, Alexandre Schmid, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

A Hardware Cellular-Automaton Architecture for Spatial Pattern Generation towards Motion-Vector Estimation of Textureless Objects Proceedings Article

In: International Symposium on Nonlinear Theory and its Applications, Shizuoka, Japan, 2016.

BibTeX | タグ: Conference Papers

87.

Masayuki Ikebe, Daisuke Uchida, Yasuhiro Take, Tetsuya Asai, Tadahiro Kuroda, Masato Motomura

[Invited] 3D Stacked Image Sensor Featuring Low Noise Inductive Coupling Channels Proceedings Article

In: International Workshop on Image Sensors and Imaging Systems, Tokyo, Japan, 2016.

BibTeX | タグ: Conference Papers

88.

Kentaro Orimo, Kota Ando, Kodai Ueyoshi, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

FPGA Architecture for Feed-Forward Sequential Memory Network Targeting Long-Term Time-Series Forecasting Proceedings Article

In: International Conference on Reconfigurable Computing and FPGAs, Cancun, Mexico, 2016.

BibTeX | タグ: Conference Papers

89.

Kota Ando, Kentaro Orimo, Kodai Ueyoshi, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

Reconfigurable Processor Array Architecture for Deep Convolutional Neural Networks Proceedings Article

In: Workshop on Synthesis And System Integration of Mixed Information Technologies, Kyoto, Japan, 2016.

BibTeX | タグ: Conference Papers

90.

Itaru Hida, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

A Two-Clock-Cycle Naive Bayes Classifier for Dynamic Branch Prediction in Pipelined RISC Microprocessors Proceedings Article

In: IEEE Asia Pacific Conference on Circuits and Systems, Jeju, Korea, 2016.

BibTeX | タグ: Conference Papers

91.

Kota Ando, Kentaro Orimo, Kodai Ueyoshi, Masayuki Ikebe, Tetsuya Asai, Masato Motomura

Reconfigurable Processor Array Architecture for Deep Convolutional Neural Networks Proceedings Article

In: Workshop on Synthesis And System Integration of Mixed Information Technologies, Kyoto, Japan, 2016.

BibTeX | タグ: Conference Papers

92.

Tetsuya Asai, Masafumi Mori, Toshiyuki Itou, Yasuhiro Take, Masayuki Ikebe, Tadahiro Kuroda, Masato Motomura

Motion-Vector Estimation and Cognitive Classification on An Image Sensor/Processor 3D Stacked System Featuring ThruChip Interfaces Proceedings Article

In: European Solid-State Circuits Conference, Lausanne, Switzerland, 2016.

BibTeX | タグ: Conference Papers

93.

Kodai Ueyoshi, Takao Marukame, Tetsuya Asai, Masato Motomura, Alexandre Schmid

Memory-Error Tolerance of Scalable and Highly Parallel Architecture for Restricted Boltzmann Machines in Deep Belief Network Proceedings Article

In: IEEE International Symposium on Circuits and Systems, Montreal, Canada, 2016.

BibTeX | タグ: Conference Papers

94.

Kasho Yamamoto, Tetsuya Asai, Masato Motomura

Hardware Architecture for Online Frequent Items Mining with Memory-Efficient Data Structure Proceedings Article

In: COOL Chips XIX, Yokohama, Japan, 2016.

BibTeX | タグ: Conference Papers

95.

平尾優香, 劉載勲, 武内良典, 今井正治

ECG の可変形状モデルに基づく不整脈検出アルゴリズム (スマートインフォメディアシステム) Proceedings Article

In: 電子情報通信学会技術研究報告= IEICE technical report: 信学技報, pp. 25–30, 2016.

BibTeX | タグ: Conference Papers

96.

Koichi Mitsunari, Jaehoon Yu

Influence of Numerical Precision on Machine Learning and Embedded Systems Proceedings Article

In: C_SISA, pp. 164–169, 2016, ((被引用件数: 4)).

BibTeX | タグ: Conference Papers

97.

Koichi Mitsunari, Jaehoon Yu, Yoshinori Takeuchi, Masaharu Imai

Object Tracking based on Path Similarity of Boosted Decision Trees Proceedings Article

In: pp. 563–566, 2016.

BibTeX | タグ: Conference Papers

98.

武内良典, 劉載勲, 今井正治, others

心疾患発症を検出するための就寝時心電計測システムの提案 Proceedings Article

In: 研究報告システム・アーキテクチャ (ARC), pp. 1–6, 2016.

BibTeX | タグ: Conference Papers

99.

川部純, 武内良典, 劉載勲, 今井正治, others

電源ノイズ削減のためのマルチコアプロセッサ向けクロックゲーティング機構の提案 Proceedings Article

In: DA シンポジウム 2016 論文集, pp. 151–156, 2016.

BibTeX | タグ: Conference Papers

100.

Yuuka Hirao, Jaehoon Yu, Yoshinori Takeuchi, Masaharu Imai

Arrhythmia Detection Using a Deformable Part Model and Time Domain Features Proceedings Article

In: pp. 94–99, 2016, ((Student Best Paper Award)).

BibTeX | タグ: Conference Papers

276 entries « 2 of 6 »