462 entries « 3 of 10 »

2020

101.

Junnosuke Suzuki, Kota Ando, Kazutoshi Hirose, Kazushi Kawamura, Thiem Van Chu, Masato Motomura, Jaehoon Yu

ProgressiveNN: Achieving Computational Scalability without Network Alteration by MSB-first Accumulative Computation Proceedings Article

In: International Symposium on Computing and Networking (CANDAR), 2020.

BibTeX | Tags: Conference Papers

102.

Masato Motomura

[Invited] Designing AI Accelerator Chips for the Smarter Future Presentation

IEEE International Conference on Integrated Circuits, Technologies and Applications (ICTA), 23.11.2020.

BibTeX | Tags: Invited Talks

103.

Masato Motomura

[Invited] Stochastic Cellular Automata Annealing (SCA) and its Non-Quantum Silicon Chip Implementation Presentation

Seminar at National University of Singapore, 10.11.2020.

BibTeX | Tags: Invited Talks

104.

Daichi Fujiki, Shunhao Wu, Nathan Ozog, Kush Goliya, David T. Blaauw, Satish Narayanasamy, Reetuparna Das

[IEEE CS Tokyo/Japan Joint Local Chapters Young Author Award 2022] SeedEx: A Genome Sequencing Accelerator for Optimal Alignments in Subminimal Space Proceedings Article

In: International Symposium on Microarchitecture (MICRO), 2020.

BibTeX | Tags: Awards, Conference Papers

105.

Kasho Yamamoto, Kazushi Kawamura, Kota Ando, Normann Mertig, Takashi Takemoto, Masanao Yamaoka, Hiroshi Teramoto, Akira Sakai, Shinya Takamaeda-Yamazaki, Masato Motomura

STATICA: A 512-Spin 0.25M-Weight Annealing Processor With an All-Spin-Updates-at-Once Architecture for Combinatorial Optimization With Complete Spin-Spin Interactions Journal Article

In: IEEE Journal of Solid-State Circuits (JSSC), 2020.

BibTeX | Tags: Journal Papers

106.

Ángel López García-Arias, Jaehoon Yu, Masanori Hashimoto

Low-Cost Reservoir Computing using Cellular Automata and Random Forests Proceedings Article

In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-5, 2020.

BibTeX | Tags: Conference Papers

107.

Kota Shiba, Tatsuo Omori, Kodai Ueyoshi, Kota Ando, Kazutoshi Hirose, Shinya Takamaeda-Yamazaki, Masato Motomura, Mototsugu Hamada, Tadahiro Kuroda

A 3D-Stacked SRAM Using Inductive Coupling with Low-Voltage Transmitter and 12:1 SerDes Proceedings Article

In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-5, 2020.

BibTeX | Tags: Conference Papers

108.

Masato Motomura

[Invited] Stochastic Cellular Automata Annealing (SCA) and its Non-Quantum Silicon Chip Implementation: Realizing Fully-Parallel Spin-Updates for Fully-Connected Spin Systems Presentation

Conference on Quantum Annealing/Adiabatic Quantum Computation, 05.10.2020.

BibTeX | Tags: Invited Talks

109.

Kazuki Onishi, Jaehoon Yu, Masanori Hashimoto

Memory Efficient Training using Lookup-Table-based Quantization for Neural Network Proceedings Article

In: IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS), pp. 251–255, IEEE 2020.

BibTeX | Tags: Conference Papers

110.

池田 泰我, 植吉 晃大, 安藤 洸太, 廣瀨 一俊, 浅井 哲也, 本村 真人, 高前田 伸也

[若手奨励賞] 効率的なDNN計算のための無効ニューロン予測手法の評価 Technical Report

情報処理学会 システム・アーキテクチャ研究会, 2020.

BibTeX | Tags: Awards, Technical Reports

111.

Yuki Hirayama, Tetsuya Asai, Masato Motomura, Shinya Takamaeda-Yamazaki

A Hardware-Efficient Weight Sampling Circuit for Bayesian Neural Networks Journal Article

In: International Journal of Networking and Computing, vol. 10, 2020.

BibTeX | Tags: Journal Papers

112.

Tai-Yu Cheng, Yukata Masuda, Jun Chen, Jaehoon Yu, Masanori Hashimoto

Logarithm-Approximate Floating-Point Multiplier is Applicable to Power-Efficient Neural Network Training Journal Article

In: Integration, vol. 74, pp. 19–31, 2020.

BibTeX | Tags: Journal Papers

113.

本村 真人, 高前田 伸也, 植吉 晃大, 安藤 洸太, 廣瀨 一俊

深層ニューラルネットワーク向けプロセッサ技術の実例と展望 Journal Article

In: 電子情報通信学会和文論文誌C, vol. J103-C, no. 05, 2020.

BibTeX | Tags: Journal Papers

114.

IEEE Spectrum

Novel Annealing Processor Is the Best Ever at Solving Combinatorial Optimization Problems Book Section

In: 2020.

Links | BibTeX | Tags: Press Releases

115.

Taiga Ikeda, Kento Sakurada, Atsuyoshi Nakamura, Masato Motomura, Shinya Takamaeda-Yamazaki

Hardware/Algorithm Co-optimization for Fully-Parallelized Compact Decision Tree Ensembles on FPGAs Proceedings Article

In: International Symposium on Applied Reconfigurable Computing (ARC), Universidad de Castilla-La Mancha, Toledo, Spain, 2020.

BibTeX | Tags: Conference Papers

116.

東工大プレスリリース

組合せ最適化問題を高速に解く新しいアニーリングマシンを開発 Book Section

In: 2020, (日経新聞,毎日新聞,日経xTECH等各種ウェブメディアに掲載).

Links | BibTeX | Tags: Press Releases

117.

Masanori Hashimoto, Xu Bai, Naoki Banno, Munehiro Tada, Toshitsugu Sakamoto, Jaehoon Yu, Ryutaro Doi, Yusuke Araki, Hidetoshi Onodera, Takashi Imagawa, Hiroyuki Ochi, Kazutoshi Wakabayashi, Yukio Mitsuyama, Tadahiko Sugibayashi

Via-Switch FPGA: 65nm CMOS Implementation and Architecture Extension for AI Applications Proceedings Article

In: International Solid-State Circuits Conference (ISSCC), pp. 502–503, 2020.

BibTeX | Tags: Conference Papers

118.

Kasho Yamamoto, Kota Ando, Normann Mertig, Takashi Takemoto, Masanao Yamaoka, Hiroshi Teramoto, Akira Sakai, Shinya Takamaeda-Yamazaki, Masato Motomura

STATICA: A 512-Spin 0.25M-Weight Full-Digital Annealing Processor with a Near-Memory All-Spin-Updates-at-Once Architecture for Combinatorial Optimization with Complete Spin-Spin Interactions Proceedings Article

In: International Solid-State Circuits Conference (ISSCC), pp. 138–139, 2020.

BibTeX | Tags: Conference Papers

119.

本村 真人(監修)

Q&Aで分かるAIチップ Book

週刊エコノミスト, 2020.

BibTeX | Tags: Books

120.

大羽 由華, 村上 大輔, 中江 達哉, 安藤 洸太, 浅井 哲也, 本村 真人, 高前田 伸也

[優秀若手発表賞] 二値化ニューラルネットワークのハードウェア指向精度向上手法の検討 Technical Report

電子情報通信学会 コンピュータシステム研究会, 2020.

BibTeX | Tags: Awards, Technical Reports

121.

植吉 晃大, 池田 泰我, 安藤 洸太, 廣瀨 一俊, 浅井 哲也, 高前田 伸也, 本村 真人

[優秀講演賞] 無効ニューロン予測によるDNN計算効率化手法 Technical Report

電子情報通信学会 リコンフィギャラブルシステム研究会, 2020.

BibTeX | Tags: Awards, Technical Reports

122.

Yafei Ou, Prasoon Ambalathankandy, Masayuki Ikebe, Shinya Takamaeda, Masato Motomura, Tetsuya Asai

Real-time Tone Mapping: A State of the Art Report Journal Article

In: IEEE Transactions on Circuits and Systems for Video Technology, 2020.

BibTeX | Tags: Journal Papers

123.

Thiem Van Chu, Kenji Kise, Kiyofumi Tanaka

Dependency-Driven Trace-Based Network-on-Chip Emulation on FPGAs Proceedings Article

In: ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), pp. 211–221, 2020.

BibTeX | Tags: Conference Papers

2019

124.

Masato Motomura

[Invited] AI Computing: The Promised Land for Computer Architecture Innovation? Presentation

Future Chips Forum, Tsinghua University, Beijin, China, 17.12.2019.

BibTeX | Tags: Invited Talks

125.

Prasoon Ambalathankandy, Yafei Ou, Jyotsna Kochiyil, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai, Masayuki Ikebe

Radiography Contrast Enhancement: Smoothed LHE Filter, a Practical Solution for Digital X-rays with Mach Band Proceedings Article

In: International Conference on Digital Image Computing: Techniques and Applications, University of Western Australia, Perth, Australia, 2019.

BibTeX | Tags: Conference Papers

126.

Kota Ando, Kodai Ueyoshi, Yuka Oba, Kazutoshi Hirose, Ryota Uematsu, Takumi Kudo, Masayuki Ikebe, Tetsuya Asai, Shinya Takamaeda-Yamazaki, Masato Motomura

Dither NN: Hardware/Algorithm Co-Design for Accurate Quantized Neural Networks Journal Article

In: IEICE Transactions on Information and Systems, vol. E102, 2019.

BibTeX | Tags: Journal Papers

127.

Kasho Yamamoto, Masayuki Ikebe, Tetsuya Asai, Masato Motomura, Shinya Takamaeda-Yamazaki

FPGA-Based Annealing Processor with Time-Division Multiplexing Journal Article

In: IEICE Transactions on Information and Systems, vol. E102, 2019.

BibTeX | Tags: Journal Papers

128.

本村 真人

AIエッジコンピューティングへの希望と展望 Book

OKIテクニカルレビュー,「AIエッジコンピューティングが拓く高度IoT社会」特集,第234号, 2019.

BibTeX | Tags: Books

129.

Prasoon Ambalathankandy, Masayuki Ikebe, Takashi Yoshida, Takeshi Shimada, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai

An Adaptive Global and Local Tone Mapping Algorithm Implemented on FPGA Journal Article

In: IEEE Transactions on Circuits and Systems for Video Technology, vol. 29, 2019.

BibTeX | Tags: Journal Papers

130.

本村 真人

AIエッジコンピューティングへの希望と展望 Book

OKIテクニカルレビュー、「AIエッジコンピューティングが拓く高度IoT社会」特集, 2019.

BibTeX | Tags: Books

131.

Yuki Hirayama, Tetsuya Asai, Masato Motomura, Shinya Takamaeda-Yamazaki

A Resource-Efficient Weight Sampling Method for Bayesian Neural Networks Accelerators Proceedings Article

In: International Symposium on Computing and Networking (CANDAR), 2019.

BibTeX | Tags: Conference Papers

132.

Masato Motomura

[Tutorial] AI Computing: What it is about & How hardware can help it out Presentation

Asian Solid-State Circuit Conference (A-SSCC), Macau, SAR, China, 14.11.2019.

BibTeX | Tags: Invited Talks

133.

Shota Fukui, Jaehoon Yu, Masanori Hashimoto

Distilling Knowledge for Non-Neural Networks Proceedings Article

In: Asia-Pacific Signal and Information Processing Association (APSIPA), 2019.

BibTeX | Tags: Conference Papers

134.

Toranosuke Tanio, Kouya Takeda, Jaehoon Yu, Masanori Hashimoto

Training Data Reduction using Support Vectors for Neural Networks Proceedings Article

In: Asia-Pacific Signal and Information Processing Association (APSIPA), 2019.

BibTeX | Tags: Conference Papers

135.

本村 真人

[Invited] AIチップ: 世界の研究動向と東工大の研究戦略 Presentation

科学技術創成研究院公開,東工大すずかけ台キャンパス,横浜, 10.10.2019.

BibTeX | Tags: Invited Talks

136.

Tatsuya Kaneko, Kentaro Orimo, Itaru Hida, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai

A Study on a Low Power Optimization Algorithm for An Edge-AI Device Journal Article

In: Nonlinear Theory and Its Applications, vol. E10-N, no. 4, 2019.

BibTeX | Tags: Journal Papers

137.

本村 真人

[Invited] AI関連半導体技術の動向 Presentation

HAB研セミナー,京都テルサ,京都, 30.08.2019.

BibTeX | Tags: Invited Talks

138.

本村 真人

[Invited] AIチップの世界動向と日本がとるべき戦略 Presentation

EPFCシンポジウム,川崎ソリッドスクエア,川崎, 04.07.2019.

BibTeX | Tags: Invited Talks

139.

Tatsuya Kaneko, Masayuki Ikebe, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai

Hardware-Oriented Algorithm and Architecture for Generative Adversarial Networks Journal Article

In: Journal of Signal Processing, vol. 23, no. 4, pp. 151-154, 2019.

BibTeX | Tags: Journal Papers

140.

Yuka Oba, Kota Ando, Tetsuya Asai, Masato Motomura, Shinya Takamaeda-Yamazaki

DeltaNet: Differential Binary Neural Network Proceedings Article

In: IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), New York, USA, 2019.

BibTeX | Tags: Conference Papers

141.

平山 侑樹, 浅井 哲也, 本村 真人, 高前田 伸也

[2019年度研究会優秀賞] 決定論的変分推論に基づくベイジアンCNNの検討 Technical Report

人工知能学会, 2019.

BibTeX | Tags: Awards, Technical Reports

142.

池田 泰我, 植吉 晃大, 安藤 洸太, 廣瀨 一俊, 浅井 哲也, 本村 真人, 高前田 伸也

[若手優秀講演賞] 効率的なDNN計算のための無効ニューロン予測手法の評価 Technical Report

電子情報通信学会 DC研究会, 2019.

BibTeX | Tags: Awards, Technical Reports

143.

Shunya Suzuki, Seunggoo Rim, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai

Experimental Demonstration of Physical Reservoir Computing with Nonlinear Electronic Devices Proceedings Article

In: RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing, Honolulu, USA, 2019.

BibTeX | Tags: Conference Papers

144.

Masato Motomura

[Invited] AI Computing: The Promised Land for Hardware? Presentation

Multimedia Workshop, Tokyo, Japan, 01.03.2019.

BibTeX | Tags: Invited Talks

145.

Masato Motomura

[Invited] Intelligence at the Edge: Frontiers for Energy-Efficient Hardware Architectures Presentation

Riken International Workshop on Neuromorphic Computing (R-WoNC), Kobe, Japan, 01.03.2019.

BibTeX | Tags: Invited Talks

146.

Koyo Minamikawa, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai

FPGA-Based FORCE Learning Accelerator towards Real-Time Online Reservoir Computing Proceedings Article

In: RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing, Honolulu, USA, 2019.

BibTeX | Tags: Conference Papers

147.

Tatsuya Kaneko, Masayuki Ikebe, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai

Hardware-Oriented Algorithm and Architecture for Generative Adversarial Networks Proceedings Article

In: RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing, Honolulu, USA, 2019.

BibTeX | Tags: Conference Papers

148.

本村 真人

コンピューティングアーキテクチャ Book

JST CRDS 研究開発の俯瞰報告書 2019年版, 2019.

BibTeX | Tags: Books

149.

Tatsuya Kaneko, Masayuki Ikebe, Shinya Takamaeda-Yamazaki, Masato Motomura, Tetsuya Asai

Ternarized Backpropagation: A Hardware-Oriented Optimization Algorithm for Edge-Oriented AI Devices Proceedings Article

In: RIEC International Symposium on Brain Functions and Brain Computer, Sendai, Japan, 2019.

BibTeX | Tags: Conference Papers

150.

Salita Sombatsiri, Seiya Shibata, Yuki Kobayashi, Hiroaki Inoue, Takashi Takenaka, Takeo Hosomi, Jaehoon Yu, Yoshinori Takeuchi

Parallelism-Flexible Convolution Core for Sparse Convolutional Neural Networks on FPGA Journal Article

In: vol. 12, pp. 22–37, 2019.

BibTeX | Tags: Journal Papers

462 entries « 3 of 10 »